1/*******************************************************************************
2* Copyright 2016-2022 Intel Corporation
3*
4* Licensed under the Apache License, Version 2.0 (the "License");
5* you may not use this file except in compliance with the License.
6* You may obtain a copy of the License at
7*
8* http://www.apache.org/licenses/LICENSE-2.0
9*
10* Unless required by applicable law or agreed to in writing, software
11* distributed under the License is distributed on an "AS IS" BASIS,
12* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
13* See the License for the specific language governing permissions and
14* limitations under the License.
15*******************************************************************************/
16
17#ifndef COMMON_C_TYPES_MAP_HPP
18#define COMMON_C_TYPES_MAP_HPP
19
20#include "oneapi/dnnl/dnnl_types.h"
21
22// These aliases should be in the global namespace as they are intended
23// to give names that better reflects the meaning of the entities
24using primitive_iface_t = dnnl_primitive;
25using primitive_desc_iface_t = dnnl_primitive_desc;
26
27namespace dnnl {
28namespace impl {
29
30// TODO: autogenerate this
31
32using dim_t = dnnl_dim_t;
33using dims_t = dnnl_dims_t;
34using stride_t = dnnl_dim_t;
35using strides_t = dnnl_dims_t;
36
37using status_t = dnnl_status_t;
38namespace status {
39const status_t success = dnnl_success;
40const status_t out_of_memory = dnnl_out_of_memory;
41const status_t invalid_arguments = dnnl_invalid_arguments;
42const status_t unimplemented = dnnl_unimplemented;
43const status_t last_impl_reached = dnnl_last_impl_reached;
44const status_t runtime_error = dnnl_runtime_error;
45const status_t not_required = dnnl_not_required;
46} // namespace status
47
48using prop_kind_t = dnnl_prop_kind_t;
49namespace prop_kind {
50const prop_kind_t undef = dnnl_prop_kind_undef;
51const prop_kind_t forward_training = dnnl_forward_training;
52const prop_kind_t forward_inference = dnnl_forward_inference;
53const prop_kind_t forward = dnnl_forward;
54const prop_kind_t backward = dnnl_backward;
55const prop_kind_t backward_data = dnnl_backward_data;
56const prop_kind_t backward_weights = dnnl_backward_weights;
57const prop_kind_t backward_bias = dnnl_backward_bias;
58} // namespace prop_kind
59
60using alg_kind_t = dnnl_alg_kind_t;
61namespace alg_kind {
62const alg_kind_t undef = dnnl_alg_kind_undef;
63const alg_kind_t convolution_auto = dnnl_convolution_auto;
64const alg_kind_t convolution_direct = dnnl_convolution_direct;
65const alg_kind_t convolution_winograd = dnnl_convolution_winograd;
66const alg_kind_t deconvolution_direct = dnnl_deconvolution_direct;
67const alg_kind_t deconvolution_winograd = dnnl_deconvolution_winograd;
68const alg_kind_t eltwise_relu = dnnl_eltwise_relu;
69const alg_kind_t eltwise_tanh = dnnl_eltwise_tanh;
70const alg_kind_t eltwise_elu = dnnl_eltwise_elu;
71const alg_kind_t eltwise_square = dnnl_eltwise_square;
72const alg_kind_t eltwise_abs = dnnl_eltwise_abs;
73const alg_kind_t eltwise_sqrt = dnnl_eltwise_sqrt;
74const alg_kind_t eltwise_swish = dnnl_eltwise_swish;
75const alg_kind_t eltwise_linear = dnnl_eltwise_linear;
76const alg_kind_t eltwise_soft_relu = dnnl_eltwise_soft_relu;
77const alg_kind_t eltwise_logistic = dnnl_eltwise_logistic;
78const alg_kind_t eltwise_mish = dnnl_eltwise_mish;
79const alg_kind_t eltwise_exp = dnnl_eltwise_exp;
80const alg_kind_t eltwise_log = dnnl_eltwise_log;
81const alg_kind_t eltwise_clip = dnnl_eltwise_clip;
82const alg_kind_t eltwise_clip_v2 = dnnl_eltwise_clip_v2;
83const alg_kind_t eltwise_pow = dnnl_eltwise_pow;
84const alg_kind_t eltwise_gelu_tanh = dnnl_eltwise_gelu_tanh;
85const alg_kind_t eltwise_gelu_erf = dnnl_eltwise_gelu_erf;
86const alg_kind_t eltwise_hardswish = dnnl_eltwise_hardswish;
87const alg_kind_t eltwise_hardsigmoid = dnnl_eltwise_hardsigmoid;
88const alg_kind_t eltwise_relu_use_dst_for_bwd
89 = dnnl_eltwise_relu_use_dst_for_bwd;
90const alg_kind_t eltwise_tanh_use_dst_for_bwd
91 = dnnl_eltwise_tanh_use_dst_for_bwd;
92const alg_kind_t eltwise_elu_use_dst_for_bwd = dnnl_eltwise_elu_use_dst_for_bwd;
93const alg_kind_t eltwise_sqrt_use_dst_for_bwd
94 = dnnl_eltwise_sqrt_use_dst_for_bwd;
95const alg_kind_t eltwise_logistic_use_dst_for_bwd
96 = dnnl_eltwise_logistic_use_dst_for_bwd;
97const alg_kind_t eltwise_exp_use_dst_for_bwd = dnnl_eltwise_exp_use_dst_for_bwd;
98const alg_kind_t eltwise_clip_v2_use_dst_for_bwd
99 = dnnl_eltwise_clip_v2_use_dst_for_bwd;
100const alg_kind_t eltwise_round = dnnl_eltwise_round;
101const alg_kind_t pooling_max = dnnl_pooling_max;
102const alg_kind_t pooling_avg_include_padding = dnnl_pooling_avg_include_padding;
103const alg_kind_t pooling_avg_exclude_padding = dnnl_pooling_avg_exclude_padding;
104const alg_kind_t lrn_across_channels = dnnl_lrn_across_channels;
105const alg_kind_t lrn_within_channel = dnnl_lrn_within_channel;
106const alg_kind_t vanilla_rnn = dnnl_vanilla_rnn;
107const alg_kind_t vanilla_lstm = dnnl_vanilla_lstm;
108const alg_kind_t vanilla_gru = dnnl_vanilla_gru;
109const alg_kind_t lbr_gru = dnnl_lbr_gru;
110const alg_kind_t vanilla_augru = dnnl_vanilla_augru;
111const alg_kind_t lbr_augru = dnnl_lbr_augru;
112const alg_kind_t binary_add = dnnl_binary_add;
113const alg_kind_t binary_mul = dnnl_binary_mul;
114const alg_kind_t binary_max = dnnl_binary_max;
115const alg_kind_t binary_min = dnnl_binary_min;
116const alg_kind_t binary_div = dnnl_binary_div;
117const alg_kind_t binary_sub = dnnl_binary_sub;
118const alg_kind_t binary_ge = dnnl_binary_ge;
119const alg_kind_t binary_gt = dnnl_binary_gt;
120const alg_kind_t binary_le = dnnl_binary_le;
121const alg_kind_t binary_lt = dnnl_binary_lt;
122const alg_kind_t binary_eq = dnnl_binary_eq;
123const alg_kind_t binary_ne = dnnl_binary_ne;
124const alg_kind_t resampling_nearest = dnnl_resampling_nearest;
125const alg_kind_t resampling_linear = dnnl_resampling_linear;
126const alg_kind_t reduction_max = dnnl_reduction_max;
127const alg_kind_t reduction_min = dnnl_reduction_min;
128const alg_kind_t reduction_sum = dnnl_reduction_sum;
129const alg_kind_t reduction_mul = dnnl_reduction_mul;
130const alg_kind_t reduction_mean = dnnl_reduction_mean;
131const alg_kind_t reduction_norm_lp_max = dnnl_reduction_norm_lp_max;
132const alg_kind_t reduction_norm_lp_sum = dnnl_reduction_norm_lp_sum;
133const alg_kind_t reduction_norm_lp_power_p_max
134 = dnnl_reduction_norm_lp_power_p_max;
135const alg_kind_t reduction_norm_lp_power_p_sum
136 = dnnl_reduction_norm_lp_power_p_sum;
137const alg_kind_t softmax_accurate = dnnl_softmax_accurate;
138const alg_kind_t softmax_log = dnnl_softmax_log;
139} // namespace alg_kind
140
141using data_type_t = dnnl_data_type_t;
142namespace data_type {
143const data_type_t undef = dnnl_data_type_undef;
144const data_type_t f16 = dnnl_f16;
145const data_type_t bf16 = dnnl_bf16;
146const data_type_t f32 = dnnl_f32;
147const data_type_t f64 = dnnl_f64;
148const data_type_t s32 = dnnl_s32;
149const data_type_t s8 = dnnl_s8;
150const data_type_t u8 = dnnl_u8;
151
152// Not exposed through API as all current uses are internal only
153const data_type_t tf32 = static_cast<data_type_t>(1 << 8);
154
155} // namespace data_type
156
157using fpmath_mode_t = dnnl_fpmath_mode_t;
158namespace fpmath_mode {
159const fpmath_mode_t strict = dnnl_fpmath_mode_strict;
160const fpmath_mode_t bf16 = dnnl_fpmath_mode_bf16;
161const fpmath_mode_t f16 = dnnl_fpmath_mode_f16;
162const fpmath_mode_t tf32 = dnnl_fpmath_mode_tf32;
163const fpmath_mode_t any = dnnl_fpmath_mode_any;
164} // namespace fpmath_mode
165
166using scratchpad_mode_t = dnnl_scratchpad_mode_t;
167namespace scratchpad_mode {
168const scratchpad_mode_t library = dnnl_scratchpad_mode_library;
169const scratchpad_mode_t user = dnnl_scratchpad_mode_user;
170} // namespace scratchpad_mode
171
172using format_kind_t = dnnl_format_kind_t;
173namespace format_kind {
174const format_kind_t undef = dnnl_format_kind_undef;
175const format_kind_t any = dnnl_format_kind_any;
176const format_kind_t blocked = dnnl_blocked;
177const format_kind_t opaque = dnnl_format_kind_opaque;
178
179// Internal only format kinds.
180const format_kind_t internal_only_start = (format_kind_t)(1 << 8);
181const format_kind_t wino = internal_only_start;
182const format_kind_t rnn_packed = (format_kind_t)(internal_only_start + 1);
183} // namespace format_kind
184
185using format_tag_t = dnnl_format_tag_t;
186namespace format_tag {
187const format_tag_t undef = dnnl_format_tag_undef;
188const format_tag_t any = dnnl_format_tag_any;
189const format_tag_t a = dnnl_a;
190const format_tag_t ab = dnnl_ab;
191const format_tag_t abc = dnnl_abc;
192const format_tag_t abcd = dnnl_abcd;
193const format_tag_t abcde = dnnl_abcde;
194const format_tag_t abcdef = dnnl_abcdef;
195const format_tag_t abcdefg = dnnl_abcdefg;
196const format_tag_t abcdefgh = dnnl_abcdefgh;
197const format_tag_t abcdefghi = dnnl_abcdefghi;
198const format_tag_t abcdefghij = dnnl_abcdefghij;
199const format_tag_t abcdefghijk = dnnl_abcdefghijk;
200const format_tag_t abcdefghijkl = dnnl_abcdefghijkl;
201const format_tag_t abcdefghijlk = dnnl_abcdefghijlk;
202const format_tag_t abcdefghikj = dnnl_abcdefghikj;
203const format_tag_t abcdefghji = dnnl_abcdefghji;
204const format_tag_t abcdefgih = dnnl_abcdefgih;
205const format_tag_t abcdefhg = dnnl_abcdefhg;
206const format_tag_t abcdegf = dnnl_abcdegf;
207const format_tag_t abcdfe = dnnl_abcdfe;
208const format_tag_t abced = dnnl_abced;
209const format_tag_t abdc = dnnl_abdc;
210const format_tag_t acbd = dnnl_acbd;
211const format_tag_t abdec = dnnl_abdec;
212const format_tag_t abdfce = dnnl_abdfce;
213const format_tag_t acb = dnnl_acb;
214const format_tag_t acbde = dnnl_acbde;
215const format_tag_t acbdef = dnnl_acbdef;
216const format_tag_t abdefc = dnnl_abdefc;
217const format_tag_t acdb = dnnl_acdb;
218const format_tag_t acdeb = dnnl_acdeb;
219const format_tag_t adbc = dnnl_adbc;
220const format_tag_t ba = dnnl_ba;
221const format_tag_t bac = dnnl_bac;
222const format_tag_t bacd = dnnl_bacd;
223const format_tag_t bca = dnnl_bca;
224const format_tag_t bcda = dnnl_bcda;
225const format_tag_t bcdea = dnnl_bcdea;
226const format_tag_t bacde = dnnl_bacde;
227const format_tag_t cab = dnnl_cab;
228const format_tag_t cba = dnnl_cba;
229const format_tag_t cdab = dnnl_cdab;
230const format_tag_t cdba = dnnl_cdba;
231const format_tag_t dcab = dnnl_dcab;
232const format_tag_t cdeab = dnnl_cdeab;
233const format_tag_t cdeba = dnnl_cdeba;
234const format_tag_t decab = dnnl_decab;
235const format_tag_t defcab = dnnl_defcab;
236const format_tag_t AB16b16a = dnnl_AB16b16a;
237const format_tag_t AB16b32a = dnnl_AB16b32a;
238const format_tag_t AB16b64a = dnnl_AB16b64a;
239const format_tag_t AB8b16a2b = dnnl_AB8b16a2b;
240const format_tag_t AB8b32a2b = dnnl_AB8b32a2b;
241const format_tag_t AB8b64a2b = dnnl_AB8b64a2b;
242const format_tag_t AB4b16a4b = dnnl_AB4b16a4b;
243const format_tag_t AB4b32a4b = dnnl_AB4b32a4b;
244const format_tag_t AB4b64a4b = dnnl_AB4b64a4b;
245const format_tag_t AB32a16b = dnnl_AB32a16b;
246const format_tag_t AB32a32b = dnnl_AB32a32b;
247const format_tag_t AB48a16b = dnnl_AB48a16b;
248const format_tag_t AB48a32b = dnnl_AB48a32b;
249const format_tag_t BA4b8a8b2a = dnnl_BA4b8a8b2a;
250const format_tag_t BA4b8a8b4a = dnnl_BA4b8a8b4a;
251const format_tag_t BA4b8a16b2a = dnnl_BA4b8a16b2a;
252const format_tag_t BA4b8a16b4a = dnnl_BA4b8a16b4a;
253const format_tag_t aBC32b16c = dnnl_aBC32b16c;
254const format_tag_t aBC32b32c = dnnl_aBC32b32c;
255const format_tag_t aBC48b16c = dnnl_aBC48b16c;
256const format_tag_t aBC48b32c = dnnl_aBC48b32c;
257const format_tag_t aCB4c8b8c2b = dnnl_aCB4c8b8c2b;
258const format_tag_t aCB4c8b8c4b = dnnl_aCB4c8b8c4b;
259const format_tag_t aCB4c8b16c2b = dnnl_aCB4c8b16c2b;
260const format_tag_t aCB4c8b16c4b = dnnl_aCB4c8b16c4b;
261const format_tag_t AB16b16a4b = dnnl_AB16b16a4b;
262const format_tag_t AB16b32a4b = dnnl_AB16b32a4b;
263const format_tag_t AB16b48a4b = dnnl_AB16b48a4b;
264const format_tag_t AB16b64a4b = dnnl_AB16b64a4b;
265const format_tag_t AB16b16a2b = dnnl_AB16b16a2b;
266const format_tag_t AB16b32a2b = dnnl_AB16b32a2b;
267const format_tag_t AB16b48a2b = dnnl_AB16b48a2b;
268const format_tag_t AB16b64a2b = dnnl_AB16b64a2b;
269const format_tag_t BA16a16b = dnnl_BA16a16b;
270const format_tag_t BA16a32b = dnnl_BA16a32b;
271const format_tag_t BA16a48b = dnnl_BA16a48b;
272const format_tag_t BA16a64b = dnnl_BA16a64b;
273const format_tag_t BA16a16b2a = dnnl_BA16a16b2a;
274const format_tag_t BA16a32b2a = dnnl_BA16a32b2a;
275const format_tag_t BA16a48b2a = dnnl_BA16a48b2a;
276const format_tag_t BA16a64b2a = dnnl_BA16a64b2a;
277const format_tag_t BA16a16b4a = dnnl_BA16a16b4a;
278const format_tag_t BA16a32b4a = dnnl_BA16a32b4a;
279const format_tag_t BA16a48b4a = dnnl_BA16a48b4a;
280const format_tag_t BA16a64b4a = dnnl_BA16a64b4a;
281const format_tag_t aCB16b16c = dnnl_aCB16b16c;
282const format_tag_t aCB16b32c = dnnl_aCB16b32c;
283const format_tag_t aCB16b48c = dnnl_aCB16b48c;
284const format_tag_t aCB16b64c = dnnl_aCB16b64c;
285const format_tag_t aCB16b16c2b = dnnl_aCB16b16c2b;
286const format_tag_t aCB16b32c2b = dnnl_aCB16b32c2b;
287const format_tag_t aCB16b48c2b = dnnl_aCB16b48c2b;
288const format_tag_t aCB16b64c2b = dnnl_aCB16b64c2b;
289const format_tag_t aCB16b16c4b = dnnl_aCB16b16c4b;
290const format_tag_t aCB16b32c4b = dnnl_aCB16b32c4b;
291const format_tag_t aCB16b48c4b = dnnl_aCB16b48c4b;
292const format_tag_t aCB16b64c4b = dnnl_aCB16b64c4b;
293
294const format_tag_t Abc16a = dnnl_Abc16a;
295const format_tag_t ABc16a16b = dnnl_ABc16a16b;
296const format_tag_t ABc4a2b = dnnl_ABc4a2b;
297const format_tag_t ABc4a4b = dnnl_ABc4a4b;
298const format_tag_t aBc16b = dnnl_aBc16b;
299const format_tag_t aBc32b = dnnl_aBc32b;
300const format_tag_t ABc16b16a = dnnl_ABc16b16a;
301const format_tag_t ABc16b32a = dnnl_ABc16b32a;
302const format_tag_t ABc16b64a = dnnl_ABc16b64a;
303const format_tag_t Abc4a = dnnl_Abc4a;
304const format_tag_t aBc4b = dnnl_aBc4b;
305const format_tag_t ABc4b16a4b = dnnl_ABc4b16a4b;
306const format_tag_t ABc4b32a4b = dnnl_ABc4b32a4b;
307const format_tag_t ABc4b64a4b = dnnl_ABc4b64a4b;
308const format_tag_t ABc2b8a4b = dnnl_ABc2b8a4b;
309const format_tag_t ABc16b16a4b = dnnl_ABc16b16a4b;
310const format_tag_t ABc16b32a4b = dnnl_ABc16b32a4b;
311const format_tag_t ABc16b48a4b = dnnl_ABc16b48a4b;
312const format_tag_t ABc16b64a4b = dnnl_ABc16b64a4b;
313const format_tag_t ABc16b16a2b = dnnl_ABc16b16a2b;
314const format_tag_t ABc16b32a2b = dnnl_ABc16b32a2b;
315const format_tag_t ABc16b48a2b = dnnl_ABc16b48a2b;
316const format_tag_t ABc16b64a2b = dnnl_ABc16b64a2b;
317const format_tag_t ABc16a16b2a = dnnl_ABc16a16b2a;
318const format_tag_t ABc4b4a = dnnl_ABc4b4a;
319const format_tag_t ABc8a16b2a = dnnl_ABc8a16b2a;
320const format_tag_t BAc8a16b2a = dnnl_BAc8a16b2a;
321const format_tag_t ABc8a8b = dnnl_ABc8a8b;
322const format_tag_t ABc8a2b = dnnl_ABc8a2b;
323const format_tag_t ABc8a4b = dnnl_ABc8a4b;
324const format_tag_t aBc8b = dnnl_aBc8b;
325const format_tag_t ABc8b16a2b = dnnl_ABc8b16a2b;
326const format_tag_t ABc8b32a2b = dnnl_ABc8b32a2b;
327const format_tag_t ABc8b64a2b = dnnl_ABc8b64a2b;
328const format_tag_t ABc8b8a = dnnl_ABc8b8a;
329const format_tag_t Abcd16a = dnnl_Abcd16a;
330const format_tag_t Abcd8a = dnnl_Abcd8a;
331const format_tag_t Abcd32a = dnnl_Abcd32a;
332const format_tag_t ABcd16a16b = dnnl_ABcd16a16b;
333const format_tag_t aBcd16b = dnnl_aBcd16b;
334const format_tag_t aBcd32b = dnnl_aBcd32b;
335const format_tag_t ABcd16b16a = dnnl_ABcd16b16a;
336const format_tag_t ABcd16b32a = dnnl_ABcd16b32a;
337const format_tag_t ABcd16b64a = dnnl_ABcd16b64a;
338const format_tag_t aBCd16b16c = dnnl_aBCd16b16c;
339const format_tag_t aBCd16c16b = dnnl_aBCd16c16b;
340const format_tag_t Abcd4a = dnnl_Abcd4a;
341const format_tag_t aBcd4b = dnnl_aBcd4b;
342const format_tag_t ABcd4b16a4b = dnnl_ABcd4b16a4b;
343const format_tag_t ABcd4b32a4b = dnnl_ABcd4b32a4b;
344const format_tag_t ABcd4b64a4b = dnnl_ABcd4b64a4b;
345const format_tag_t ABcd16b16a4b = dnnl_ABcd16b16a4b;
346const format_tag_t ABcd16b32a4b = dnnl_ABcd16b32a4b;
347const format_tag_t ABcd16b48a4b = dnnl_ABcd16b48a4b;
348const format_tag_t ABcd16b64a4b = dnnl_ABcd16b64a4b;
349const format_tag_t ABcd16b16a2b = dnnl_ABcd16b16a2b;
350const format_tag_t ABcd16b32a2b = dnnl_ABcd16b32a2b;
351const format_tag_t ABcd16b48a2b = dnnl_ABcd16b48a2b;
352const format_tag_t ABcd16b64a2b = dnnl_ABcd16b64a2b;
353const format_tag_t ABcd16a16b2a = dnnl_ABcd16a16b2a;
354const format_tag_t ABcde16a16b2a = dnnl_ABcde16a16b2a;
355const format_tag_t ABcd4b4a = dnnl_ABcd4b4a;
356const format_tag_t ABcd4a2b = dnnl_ABcd4a2b;
357const format_tag_t ABcd4a4b = dnnl_ABcd4a4b;
358const format_tag_t aBCd4c16b4c = dnnl_aBCd4c16b4c;
359const format_tag_t aBCd2c8b4c = dnnl_aBCd2c8b4c;
360const format_tag_t aBCd16c16b4c = dnnl_aBCd16c16b4c;
361const format_tag_t aBCd16c16b2c = dnnl_aBCd16c16b2c;
362const format_tag_t aBCd16b16c2b = dnnl_aBCd16b16c2b;
363const format_tag_t aBCd4c4b = dnnl_aBCd4c4b;
364const format_tag_t aBCd4b4c = dnnl_aBCd4b4c;
365const format_tag_t ABcd8a16b2a = dnnl_ABcd8a16b2a;
366const format_tag_t BAcd8a16b2a = dnnl_BAcd8a16b2a;
367const format_tag_t ABcd8a8b = dnnl_ABcd8a8b;
368const format_tag_t ABcd8a4b = dnnl_ABcd8a4b;
369const format_tag_t ABcd8a2b = dnnl_ABcd8a2b;
370const format_tag_t aBcd8b = dnnl_aBcd8b;
371const format_tag_t ABcd8b16a2b = dnnl_ABcd8b16a2b;
372const format_tag_t ABcd8b32a2b = dnnl_ABcd8b32a2b;
373const format_tag_t ABcd8b64a2b = dnnl_ABcd8b64a2b;
374const format_tag_t ABcd2b8a4b = dnnl_ABcd2b8a4b;
375const format_tag_t aBCd8b16c2b = dnnl_aBCd8b16c2b;
376const format_tag_t aCBd8b16c2b = dnnl_aCBd8b16c2b;
377const format_tag_t aBCd2c8b16c2b = dnnl_aBCd2c8b16c2b;
378const format_tag_t ABcd8b8a = dnnl_ABcd8b8a;
379const format_tag_t aBCd8b8c = dnnl_aBCd8b8c;
380const format_tag_t aBCd8b2c = dnnl_aBCd8b2c;
381const format_tag_t aBCd8b4c = dnnl_aBCd8b4c;
382const format_tag_t aBCd8c16b2c = dnnl_aBCd8c16b2c;
383const format_tag_t aBCd8c8b = dnnl_aBCd8c8b;
384const format_tag_t Abcde16a = dnnl_Abcde16a;
385const format_tag_t Abcde32a = dnnl_Abcde32a;
386const format_tag_t ABcde16a16b = dnnl_ABcde16a16b;
387const format_tag_t aBcde16b = dnnl_aBcde16b;
388const format_tag_t aBcde32b = dnnl_aBcde32b;
389const format_tag_t ABcde16b16a = dnnl_ABcde16b16a;
390const format_tag_t ABcde16b32a = dnnl_ABcde16b32a;
391const format_tag_t ABcde16b64a = dnnl_ABcde16b64a;
392const format_tag_t aBCde16b16c = dnnl_aBCde16b16c;
393const format_tag_t aBCde16c16b = dnnl_aBCde16c16b;
394const format_tag_t aBCde2c8b4c = dnnl_aBCde2c8b4c;
395const format_tag_t Abcde4a = dnnl_Abcde4a;
396const format_tag_t aBcde4b = dnnl_aBcde4b;
397const format_tag_t ABcde4b4a = dnnl_ABcde4b4a;
398const format_tag_t ABcde4a2b = dnnl_ABcde4a2b;
399const format_tag_t ABcde4a4b = dnnl_ABcde4a4b;
400const format_tag_t aBCde4b4c = dnnl_aBCde4b4c;
401const format_tag_t aBCde4c16b4c = dnnl_aBCde4c16b4c;
402const format_tag_t aBCde16c16b4c = dnnl_aBCde16c16b4c;
403const format_tag_t aBCde16c16b2c = dnnl_aBCde16c16b2c;
404const format_tag_t aBCde16b16c2b = dnnl_aBCde16b16c2b;
405const format_tag_t aBCde4c4b = dnnl_aBCde4c4b;
406const format_tag_t Abcde8a = dnnl_Abcde8a;
407const format_tag_t ABcde8a8b = dnnl_ABcde8a8b;
408const format_tag_t ABcde8a2b = dnnl_ABcde8a2b;
409const format_tag_t ABcde8a4b = dnnl_ABcde8a4b;
410const format_tag_t aBcde8b = dnnl_aBcde8b;
411const format_tag_t ABcde8b16a2b = dnnl_ABcde8b16a2b;
412const format_tag_t ABcde8b32a2b = dnnl_ABcde8b32a2b;
413const format_tag_t ABcde8b64a2b = dnnl_ABcde8b64a2b;
414const format_tag_t ABcde8a16b2a = dnnl_ABcde8a16b2a;
415const format_tag_t BAcde8a16b2a = dnnl_BAcde8a16b2a;
416const format_tag_t ABcde4b16a4b = dnnl_ABcde4b16a4b;
417const format_tag_t ABcde4b32a4b = dnnl_ABcde4b32a4b;
418const format_tag_t ABcde4b64a4b = dnnl_ABcde4b64a4b;
419const format_tag_t ABcde16b16a4b = dnnl_ABcde16b16a4b;
420const format_tag_t ABcde16b32a4b = dnnl_ABcde16b32a4b;
421const format_tag_t ABcde16b48a4b = dnnl_ABcde16b48a4b;
422const format_tag_t ABcde16b64a4b = dnnl_ABcde16b64a4b;
423const format_tag_t ABcde2b8a4b = dnnl_ABcde2b8a4b;
424const format_tag_t aBCde8b16c2b = dnnl_aBCde8b16c2b;
425const format_tag_t aCBde8b16c2b = dnnl_aCBde8b16c2b;
426const format_tag_t ABcde8b8a = dnnl_ABcde8b8a;
427const format_tag_t aBCde8b8c = dnnl_aBCde8b8c;
428const format_tag_t aBCde8b2c = dnnl_aBCde8b2c;
429const format_tag_t aBCde8b4c = dnnl_aBCde8b4c;
430const format_tag_t ABc4a8b8a4b = dnnl_ABc4a8b8a4b;
431const format_tag_t ABcd4a8b8a4b = dnnl_ABcd4a8b8a4b;
432const format_tag_t ABcde4a8b8a4b = dnnl_ABcde4a8b8a4b;
433const format_tag_t ABcd2a8b8a2b = dnnl_ABcd2a8b8a2b;
434const format_tag_t ABcde4a8b8a2b = dnnl_ABcde4a8b8a2b;
435const format_tag_t ABcd4a8b8a2b = dnnl_ABcd4a8b8a2b;
436const format_tag_t ABc4a8b8a2b = dnnl_ABc4a8b8a2b;
437const format_tag_t aBCdef4b8c8b2c = dnnl_aBCdef4b8c8b2c;
438const format_tag_t aBCde4b8c8b2c = dnnl_aBCde4b8c8b2c;
439const format_tag_t aBCd4b8c8b2c = dnnl_aBCd4b8c8b2c;
440const format_tag_t BAcde4b8a8b2a = dnnl_BAcde4b8a8b2a;
441const format_tag_t BAcd4b8a8b2a = dnnl_BAcd4b8a8b2a;
442const format_tag_t BAc4b8a8b2a = dnnl_BAc4b8a8b2a;
443const format_tag_t aCBdef4c8b8c2b = dnnl_aCBdef4c8b8c2b;
444const format_tag_t aCBde4c8b8c2b = dnnl_aCBde4c8b8c2b;
445const format_tag_t aCBd4c8b8c2b = dnnl_aCBd4c8b8c2b;
446const format_tag_t aBCd4b8c8b4c = dnnl_aBCd4b8c8b4c;
447const format_tag_t aBCde4b8c8b4c = dnnl_aBCde4b8c8b4c;
448const format_tag_t aBCdef4b8c8b4c = dnnl_aBCdef4b8c8b4c;
449const format_tag_t BAc4b8a8b4a = dnnl_BAc4b8a8b4a;
450const format_tag_t BAcd4b8a8b4a = dnnl_BAcd4b8a8b4a;
451const format_tag_t BAcde4b8a8b4a = dnnl_BAcde4b8a8b4a;
452const format_tag_t aCBd4c8b8c4b = dnnl_aCBd4c8b8c4b;
453const format_tag_t aCBde4c8b8c4b = dnnl_aCBde4c8b8c4b;
454const format_tag_t aCBdef4c8b8c4b = dnnl_aCBdef4c8b8c4b;
455const format_tag_t aBCde2b8c8b2c = dnnl_aBCde2b8c8b2c;
456const format_tag_t aBCde8c16b2c = dnnl_aBCde8c16b2c;
457const format_tag_t aBCde8c8b = dnnl_aBCde8c8b;
458const format_tag_t aBcdef16b = dnnl_aBcdef16b;
459const format_tag_t aBCdef16b16c = dnnl_aBCdef16b16c;
460const format_tag_t aBCdef16b16c2b = dnnl_aBCdef16b16c2b;
461const format_tag_t aBCdef16c16b = dnnl_aBCdef16c16b;
462const format_tag_t aBCdef4c16b4c = dnnl_aBCdef4c16b4c;
463const format_tag_t aBCdef2c8b4c = dnnl_aBCdef2c8b4c;
464const format_tag_t aBcdef4b = dnnl_aBcdef4b;
465const format_tag_t aBCdef4c4b = dnnl_aBCdef4c4b;
466const format_tag_t aBCdef4b4c = dnnl_aBCdef4b4c;
467const format_tag_t aBCdef8b8c = dnnl_aBCdef8b8c;
468const format_tag_t aBCdef8b2c = dnnl_aBCdef8b2c;
469const format_tag_t aBCdef8b4c = dnnl_aBCdef8b4c;
470const format_tag_t aBCdef8c16b2c = dnnl_aBCdef8c16b2c;
471const format_tag_t aBCdef8b16c2b = dnnl_aBCdef8b16c2b;
472const format_tag_t aCBdef8b16c2b = dnnl_aCBdef8b16c2b;
473const format_tag_t aBCdef8c8b = dnnl_aBCdef8c8b;
474const format_tag_t aBdc16b = dnnl_aBdc16b;
475const format_tag_t aBdC16b2c = dnnl_aBdC16b2c;
476const format_tag_t aBdC16b4c = dnnl_aBdC16b4c;
477const format_tag_t aBdc4b = dnnl_aBdc4b;
478const format_tag_t aBdc8b = dnnl_aBdc8b;
479const format_tag_t aBdec16b = dnnl_aBdec16b;
480const format_tag_t aBdeC16b2c = dnnl_aBdeC16b2c;
481const format_tag_t aBdeC16b4c = dnnl_aBdeC16b4c;
482const format_tag_t aBdec4b = dnnl_aBdec4b;
483const format_tag_t aBdec8b = dnnl_aBdec8b;
484const format_tag_t aBdefc16b = dnnl_aBdefc16b;
485const format_tag_t aBdefC16b2c = dnnl_aBdefC16b2c;
486const format_tag_t aBdefC16b4c = dnnl_aBdefC16b4c;
487const format_tag_t aCBdef16c16b = dnnl_aCBdef16c16b;
488const format_tag_t aCBdef16b16c = dnnl_aCBdef16b16c;
489const format_tag_t aBdefc4b = dnnl_aBdefc4b;
490const format_tag_t aBdefc8b = dnnl_aBdefc8b;
491const format_tag_t aBdfec16b = dnnl_aBdfec16b;
492const format_tag_t aBedc16b = dnnl_aBedc16b;
493const format_tag_t Acb16a = dnnl_Acb16a;
494const format_tag_t AcB16a2b = dnnl_AcB16a2b;
495const format_tag_t AcB16a4b = dnnl_AcB16a4b;
496const format_tag_t Acb4a = dnnl_Acb4a;
497const format_tag_t Acb8a = dnnl_Acb8a;
498const format_tag_t aCBd16b16c = dnnl_aCBd16b16c;
499const format_tag_t aCBd16c16b = dnnl_aCBd16c16b;
500const format_tag_t aCBde16b16c = dnnl_aCBde16b16c;
501const format_tag_t aCBde16c16b = dnnl_aCBde16c16b;
502const format_tag_t Acdb16a = dnnl_Acdb16a;
503const format_tag_t AcdB16a2b = dnnl_AcdB16a2b;
504const format_tag_t AcdB16a4b = dnnl_AcdB16a4b;
505const format_tag_t Acdb4a = dnnl_Acdb4a;
506const format_tag_t Acdb8a = dnnl_Acdb8a;
507const format_tag_t Acdeb16a = dnnl_Acdeb16a;
508const format_tag_t AcdeB16a2b = dnnl_AcdeB16a2b;
509const format_tag_t AcdeB16a4b = dnnl_AcdeB16a4b;
510const format_tag_t Acdeb4a = dnnl_Acdeb4a;
511const format_tag_t Acdeb8a = dnnl_Acdeb8a;
512const format_tag_t Acedb16a = dnnl_Acedb16a;
513const format_tag_t Adcb16a = dnnl_Adcb16a;
514const format_tag_t BAc16a16b = dnnl_BAc16a16b;
515const format_tag_t BAcd16a16b = dnnl_BAcd16a16b;
516const format_tag_t ABc32a16b = dnnl_ABc32a16b;
517const format_tag_t ABcd32a16b = dnnl_ABcd32a16b;
518const format_tag_t ABcde32a16b = dnnl_ABcde32a16b;
519const format_tag_t ABc40a16b = dnnl_ABc40a16b;
520const format_tag_t ABcd40a16b = dnnl_ABcd40a16b;
521const format_tag_t ABcde40a16b = dnnl_ABcde40a16b;
522const format_tag_t ABc32a32b = dnnl_ABc32a32b;
523const format_tag_t BAcde16a16b = dnnl_BAcde16a16b;
524const format_tag_t ABcd32a32b = dnnl_ABcd32a32b;
525const format_tag_t ABcde32a32b = dnnl_ABcde32a32b;
526const format_tag_t ABc40a32b = dnnl_ABc40a32b;
527const format_tag_t ABcd40a32b = dnnl_ABcd40a32b;
528const format_tag_t ABcde40a32b = dnnl_ABcde40a32b;
529const format_tag_t BAcde16b16a = dnnl_BAcde16b16a;
530const format_tag_t aBdec32b = dnnl_aBdec32b;
531const format_tag_t Abcdef16a = dnnl_Abcdef16a;
532const format_tag_t Abcdef32a = dnnl_Abcdef32a;
533const format_tag_t Acdb32a = dnnl_Acdb32a;
534const format_tag_t BAc16b16a = dnnl_BAc16b16a;
535const format_tag_t BAcd16b16a = dnnl_BAcd16b16a;
536const format_tag_t aBCd2b4c2b = dnnl_aBCd2b4c2b;
537const format_tag_t aBCde2b4c2b = dnnl_aBCde2b4c2b;
538const format_tag_t aBCdef2b4c2b = dnnl_aBCdef2b4c2b;
539const format_tag_t aBCd2c4b2c = dnnl_aBCd2c4b2c;
540const format_tag_t aBCde2c4b2c = dnnl_aBCde2c4b2c;
541const format_tag_t aBCdef2c4b2c = dnnl_aBCdef2c4b2c;
542const format_tag_t aBCd4b8c2b = dnnl_aBCd4b8c2b;
543const format_tag_t aBCde4b8c2b = dnnl_aBCde4b8c2b;
544const format_tag_t aBCdef4b8c2b = dnnl_aBCdef4b8c2b;
545const format_tag_t aBCd4c8b2c = dnnl_aBCd4c8b2c;
546const format_tag_t aBCde4c8b2c = dnnl_aBCde4c8b2c;
547const format_tag_t aBCdef4c8b2c = dnnl_aBCdef4c8b2c;
548const format_tag_t AB32a32b8a4b = dnnl_AB32a32b8a4b;
549const format_tag_t AB8a4b = dnnl_AB8a4b;
550const format_tag_t AB32a32b8a2b = dnnl_AB32a32b8a2b;
551const format_tag_t AB8a2b = dnnl_AB8a2b;
552const format_tag_t abDc32d = dnnl_abDc32d;
553const format_tag_t abDC32d4c = dnnl_abDC32d4c;
554const format_tag_t abCd4c = dnnl_abCd4c;
555const format_tag_t abCde4c = dnnl_abCde4c;
556const format_tag_t abCdef4c = dnnl_abCdef4c;
557const format_tag_t abCd32c = dnnl_abCd32c;
558const format_tag_t abCde32c = dnnl_abCde32c;
559const format_tag_t abCdef32c = dnnl_abCdef32c;
560const format_tag_t abdEc32e = dnnl_abdEc32e;
561const format_tag_t abdEC32e2c = dnnl_abdEC32e2c;
562const format_tag_t abdEC32e4c = dnnl_abdEC32e4c;
563const format_tag_t abdEC64e2c = dnnl_abdEC64e2c;
564const format_tag_t abdEC64e4c = dnnl_abdEC64e4c;
565const format_tag_t abdCe32c = dnnl_abdCe32c;
566const format_tag_t abdCE32c2e = dnnl_abdCE32c2e;
567const format_tag_t aBCdef16c16b4c = dnnl_aBCdef16c16b4c;
568const format_tag_t ABcde16b16a2b = dnnl_ABcde16b16a2b;
569const format_tag_t ABcde16b32a2b = dnnl_ABcde16b32a2b;
570const format_tag_t ABcde16b48a2b = dnnl_ABcde16b48a2b;
571const format_tag_t ABcde16b64a2b = dnnl_ABcde16b64a2b;
572const format_tag_t aBCdef16c16b2c = dnnl_aBCdef16c16b2c;
573const format_tag_t cBa2b = dnnl_cBa2b;
574const format_tag_t cBa4b = dnnl_cBa4b;
575const format_tag_t adcb = dnnl_adcb;
576const format_tag_t adCb2c = dnnl_adCb2c;
577const format_tag_t adCb4c = dnnl_adCb4c;
578const format_tag_t cdBa2b = dnnl_cdBa2b;
579const format_tag_t cdBa4b = dnnl_cdBa4b;
580const format_tag_t adecb = dnnl_adecb;
581const format_tag_t adeCb2c = dnnl_adeCb2c;
582const format_tag_t adeCb4c = dnnl_adeCb4c;
583const format_tag_t cdeBa2b = dnnl_cdeBa2b;
584const format_tag_t cdeBa4b = dnnl_cdeBa4b;
585const format_tag_t adefcb = dnnl_adefcb;
586const format_tag_t adefCb2c = dnnl_adefCb2c;
587const format_tag_t adefCb4c = dnnl_adefCb4c;
588const format_tag_t Acb32a = dnnl_Acb32a;
589const format_tag_t AcB32a2b = dnnl_AcB32a2b;
590const format_tag_t AcB32a4b = dnnl_AcB32a4b;
591const format_tag_t Acb48a = dnnl_Acb48a;
592const format_tag_t AcB48a2b = dnnl_AcB48a2b;
593const format_tag_t AcB48a4b = dnnl_AcB48a4b;
594const format_tag_t Acb64a = dnnl_Acb64a;
595const format_tag_t AcB64a2b = dnnl_AcB64a2b;
596const format_tag_t AcB64a4b = dnnl_AcB64a4b;
597const format_tag_t aBdc32b = dnnl_aBdc32b;
598const format_tag_t aBdC32b2c = dnnl_aBdC32b2c;
599const format_tag_t aBdC32b4c = dnnl_aBdC32b4c;
600const format_tag_t aBdc48b = dnnl_aBdc48b;
601const format_tag_t aBdC48b2c = dnnl_aBdC48b2c;
602const format_tag_t aBdC48b4c = dnnl_aBdC48b4c;
603const format_tag_t aBdc64b = dnnl_aBdc64b;
604const format_tag_t aBdC64b2c = dnnl_aBdC64b2c;
605const format_tag_t aBdC64b4c = dnnl_aBdC64b4c;
606const format_tag_t AcdB32a2b = dnnl_AcdB32a2b;
607const format_tag_t AcdB32a4b = dnnl_AcdB32a4b;
608const format_tag_t Acdb48a = dnnl_Acdb48a;
609const format_tag_t AcdB48a2b = dnnl_AcdB48a2b;
610const format_tag_t AcdB48a4b = dnnl_AcdB48a4b;
611const format_tag_t Acdb64a = dnnl_Acdb64a;
612const format_tag_t AcdB64a2b = dnnl_AcdB64a2b;
613const format_tag_t AcdB64a4b = dnnl_AcdB64a4b;
614const format_tag_t aBdeC32b2c = dnnl_aBdeC32b2c;
615const format_tag_t aBdeC32b4c = dnnl_aBdeC32b4c;
616const format_tag_t aBdec48b = dnnl_aBdec48b;
617const format_tag_t aBdeC48b2c = dnnl_aBdeC48b2c;
618const format_tag_t aBdeC48b4c = dnnl_aBdeC48b4c;
619const format_tag_t aBdec64b = dnnl_aBdec64b;
620const format_tag_t aBdeC64b2c = dnnl_aBdeC64b2c;
621const format_tag_t aBdeC64b4c = dnnl_aBdeC64b4c;
622const format_tag_t Acdeb32a = dnnl_Acdeb32a;
623const format_tag_t AcdeB32a2b = dnnl_AcdeB32a2b;
624const format_tag_t AcdeB32a4b = dnnl_AcdeB32a4b;
625const format_tag_t Acdeb48a = dnnl_Acdeb48a;
626const format_tag_t AcdeB48a2b = dnnl_AcdeB48a2b;
627const format_tag_t AcdeB48a4b = dnnl_AcdeB48a4b;
628const format_tag_t Acdeb64a = dnnl_Acdeb64a;
629const format_tag_t AcdeB64a2b = dnnl_AcdeB64a2b;
630const format_tag_t AcdeB64a4b = dnnl_AcdeB64a4b;
631const format_tag_t aBdefc32b = dnnl_aBdefc32b;
632const format_tag_t aBdefC32b2c = dnnl_aBdefC32b2c;
633const format_tag_t aBdefC32b4c = dnnl_aBdefC32b4c;
634const format_tag_t aBdefc48b = dnnl_aBdefc48b;
635const format_tag_t aBdefC48b2c = dnnl_aBdefC48b2c;
636const format_tag_t aBdefC48b4c = dnnl_aBdefC48b4c;
637const format_tag_t aBdefc64b = dnnl_aBdefc64b;
638const format_tag_t aBdefC64b2c = dnnl_aBdefC64b2c;
639const format_tag_t aBdefC64b4c = dnnl_aBdefC64b4c;
640const format_tag_t aBdeC16c16b2c = dnnl_aBdeC16c16b2c;
641const format_tag_t aBdeC16c16b4c = dnnl_aBdeC16c16b4c;
642const format_tag_t aBdefC16c16b2c = dnnl_aBdefC16c16b2c;
643const format_tag_t aBdefC16c16b4c = dnnl_aBdefC16c16b4c;
644const format_tag_t AcB16b16a2b = dnnl_AcB16b16a2b;
645const format_tag_t AcB16b16a4b = dnnl_AcB16b16a4b;
646const format_tag_t aBdC16c16b2c = dnnl_aBdC16c16b2c;
647const format_tag_t aBdC16c16b4c = dnnl_aBdC16c16b4c;
648const format_tag_t AcdB16b16a2b = dnnl_AcdB16b16a2b;
649const format_tag_t AcdB16b16a4b = dnnl_AcdB16b16a4b;
650const format_tag_t AcdeB16b16a2b = dnnl_AcdeB16b16a2b;
651const format_tag_t AcdeB16b16a4b = dnnl_AcdeB16b16a4b;
652const format_tag_t AcB16b32a2b = dnnl_AcB16b32a2b;
653const format_tag_t AcB16b32a4b = dnnl_AcB16b32a4b;
654const format_tag_t AcB16b48a2b = dnnl_AcB16b48a2b;
655const format_tag_t AcB16b48a4b = dnnl_AcB16b48a4b;
656const format_tag_t AcB16b64a2b = dnnl_AcB16b64a2b;
657const format_tag_t AcB16b64a4b = dnnl_AcB16b64a4b;
658const format_tag_t aBdC16c32b2c = dnnl_aBdC16c32b2c;
659const format_tag_t aBdC16c32b4c = dnnl_aBdC16c32b4c;
660const format_tag_t aBdC16c48b2c = dnnl_aBdC16c48b2c;
661const format_tag_t aBdC16c48b4c = dnnl_aBdC16c48b4c;
662const format_tag_t aBdC16c64b2c = dnnl_aBdC16c64b2c;
663const format_tag_t aBdC16c64b4c = dnnl_aBdC16c64b4c;
664const format_tag_t AcdB16b32a2b = dnnl_AcdB16b32a2b;
665const format_tag_t AcdB16b32a4b = dnnl_AcdB16b32a4b;
666const format_tag_t AcdB16b48a2b = dnnl_AcdB16b48a2b;
667const format_tag_t AcdB16b48a4b = dnnl_AcdB16b48a4b;
668const format_tag_t AcdB16b64a2b = dnnl_AcdB16b64a2b;
669const format_tag_t AcdB16b64a4b = dnnl_AcdB16b64a4b;
670const format_tag_t aBdeC16c32b2c = dnnl_aBdeC16c32b2c;
671const format_tag_t aBdeC16c32b4c = dnnl_aBdeC16c32b4c;
672const format_tag_t aBdeC16c48b2c = dnnl_aBdeC16c48b2c;
673const format_tag_t aBdeC16c48b4c = dnnl_aBdeC16c48b4c;
674const format_tag_t aBdeC16c64b2c = dnnl_aBdeC16c64b2c;
675const format_tag_t aBdeC16c64b4c = dnnl_aBdeC16c64b4c;
676const format_tag_t AcdeB16b32a2b = dnnl_AcdeB16b32a2b;
677const format_tag_t AcdeB16b32a4b = dnnl_AcdeB16b32a4b;
678const format_tag_t AcdeB16b48a2b = dnnl_AcdeB16b48a2b;
679const format_tag_t AcdeB16b48a4b = dnnl_AcdeB16b48a4b;
680const format_tag_t AcdeB16b64a2b = dnnl_AcdeB16b64a2b;
681const format_tag_t AcdeB16b64a4b = dnnl_AcdeB16b64a4b;
682const format_tag_t aBdefC16c32b2c = dnnl_aBdefC16c32b2c;
683const format_tag_t aBdefC16c32b4c = dnnl_aBdefC16c32b4c;
684const format_tag_t aBdefC16c48b2c = dnnl_aBdefC16c48b2c;
685const format_tag_t aBdefC16c48b4c = dnnl_aBdefC16c48b4c;
686const format_tag_t aBdefC16c64b2c = dnnl_aBdefC16c64b2c;
687const format_tag_t aBdefC16c64b4c = dnnl_aBdefC16c64b4c;
688const format_tag_t decbA16a = dnnl_decbA16a;
689const format_tag_t decbA8a = dnnl_decbA8a;
690const format_tag_t aCB16c2b = dnnl_aCB16c2b;
691const format_tag_t aCB16c4b = dnnl_aCB16c4b;
692const format_tag_t BA16b2a = dnnl_BA16b2a;
693const format_tag_t BA16b4a = dnnl_BA16b4a;
694const format_tag_t aBC16b16c = dnnl_aBC16b16c;
695const format_tag_t aBC16b32c = dnnl_aBC16b32c;
696const format_tag_t AB16a16b = dnnl_AB16a16b;
697const format_tag_t AB16a32b = dnnl_AB16a32b;
698const format_tag_t ABcd16a32b = dnnl_ABcd16a32b;
699const format_tag_t aCdefB16b32c2b = dnnl_aCdefB16b32c2b;
700const format_tag_t aCdefB16b32c4b = dnnl_aCdefB16b32c4b;
701const format_tag_t aCdefB16b48c2b = dnnl_aCdefB16b48c2b;
702const format_tag_t aCdefB16b48c4b = dnnl_aCdefB16b48c4b;
703const format_tag_t aCdefB16b64c2b = dnnl_aCdefB16b64c2b;
704const format_tag_t aCdefB16b64c4b = dnnl_aCdefB16b64c4b;
705const format_tag_t BcdeA16a32b2a = dnnl_BcdeA16a32b2a;
706const format_tag_t BcdeA16a32b4a = dnnl_BcdeA16a32b4a;
707const format_tag_t BcdeA16a48b2a = dnnl_BcdeA16a48b2a;
708const format_tag_t BcdeA16a48b4a = dnnl_BcdeA16a48b4a;
709const format_tag_t BcdeA16a64b2a = dnnl_BcdeA16a64b2a;
710const format_tag_t BcdeA16a64b4a = dnnl_BcdeA16a64b4a;
711const format_tag_t aCdefb32c = dnnl_aCdefb32c;
712const format_tag_t aCdefB32c2b = dnnl_aCdefB32c2b;
713const format_tag_t aCdefB32c4b = dnnl_aCdefB32c4b;
714const format_tag_t aCdefb48c = dnnl_aCdefb48c;
715const format_tag_t aCdefB48c2b = dnnl_aCdefB48c2b;
716const format_tag_t aCdefB48c4b = dnnl_aCdefB48c4b;
717const format_tag_t aCdefb64c = dnnl_aCdefb64c;
718const format_tag_t aCdefB64c2b = dnnl_aCdefB64c2b;
719const format_tag_t aCdefB64c4b = dnnl_aCdefB64c4b;
720const format_tag_t Bcdea32b = dnnl_Bcdea32b;
721const format_tag_t BcdeA32b2a = dnnl_BcdeA32b2a;
722const format_tag_t BcdeA32b4a = dnnl_BcdeA32b4a;
723const format_tag_t Bcdea48b = dnnl_Bcdea48b;
724const format_tag_t BcdeA48b2a = dnnl_BcdeA48b2a;
725const format_tag_t BcdeA48b4a = dnnl_BcdeA48b4a;
726const format_tag_t Bcdea64b = dnnl_Bcdea64b;
727const format_tag_t BcdeA64b2a = dnnl_BcdeA64b2a;
728const format_tag_t BcdeA64b4a = dnnl_BcdeA64b4a;
729const format_tag_t Bca32b = dnnl_Bca32b;
730const format_tag_t BcA32b2a = dnnl_BcA32b2a;
731const format_tag_t BcA32b4a = dnnl_BcA32b4a;
732const format_tag_t Bca48b = dnnl_Bca48b;
733const format_tag_t BcA48b2a = dnnl_BcA48b2a;
734const format_tag_t BcA48b4a = dnnl_BcA48b4a;
735const format_tag_t Bca64b = dnnl_Bca64b;
736const format_tag_t BcA64b2a = dnnl_BcA64b2a;
737const format_tag_t BcA64b4a = dnnl_BcA64b4a;
738const format_tag_t aCdb32c = dnnl_aCdb32c;
739const format_tag_t aCdB32c2b = dnnl_aCdB32c2b;
740const format_tag_t aCdB32c4b = dnnl_aCdB32c4b;
741const format_tag_t aCdb48c = dnnl_aCdb48c;
742const format_tag_t aCdB48c2b = dnnl_aCdB48c2b;
743const format_tag_t aCdB48c4b = dnnl_aCdB48c4b;
744const format_tag_t aCdb64c = dnnl_aCdb64c;
745const format_tag_t aCdB64c2b = dnnl_aCdB64c2b;
746const format_tag_t aCdB64c4b = dnnl_aCdB64c4b;
747const format_tag_t BcA16a16b2a = dnnl_BcA16a16b2a;
748const format_tag_t BcA16a16b4a = dnnl_BcA16a16b4a;
749const format_tag_t BcdA16a16b2a = dnnl_BcdA16a16b2a;
750const format_tag_t BcdA16a16b4a = dnnl_BcdA16a16b4a;
751const format_tag_t BcdeA16a16b2a = dnnl_BcdeA16a16b2a;
752const format_tag_t BcdeA16a16b4a = dnnl_BcdeA16a16b4a;
753const format_tag_t aCdB16b16c2b = dnnl_aCdB16b16c2b;
754const format_tag_t aCdB16b16c4b = dnnl_aCdB16b16c4b;
755const format_tag_t aCdeB16b16c2b = dnnl_aCdeB16b16c2b;
756const format_tag_t aCdeB16b16c4b = dnnl_aCdeB16b16c4b;
757const format_tag_t aCdefB16b16c2b = dnnl_aCdefB16b16c2b;
758const format_tag_t aCdefB16b16c4b = dnnl_aCdefB16b16c4b;
759const format_tag_t BcA16a32b2a = dnnl_BcA16a32b2a;
760const format_tag_t BcA16a32b4a = dnnl_BcA16a32b4a;
761const format_tag_t BcA16a48b2a = dnnl_BcA16a48b2a;
762const format_tag_t BcA16a48b4a = dnnl_BcA16a48b4a;
763const format_tag_t BcA16a64b2a = dnnl_BcA16a64b2a;
764const format_tag_t BcA16a64b4a = dnnl_BcA16a64b4a;
765const format_tag_t aCdB16b32c2b = dnnl_aCdB16b32c2b;
766const format_tag_t aCdB16b32c4b = dnnl_aCdB16b32c4b;
767const format_tag_t aCdB16b48c2b = dnnl_aCdB16b48c2b;
768const format_tag_t aCdB16b48c4b = dnnl_aCdB16b48c4b;
769const format_tag_t aCdB16b64c2b = dnnl_aCdB16b64c2b;
770const format_tag_t aCdB16b64c4b = dnnl_aCdB16b64c4b;
771const format_tag_t BcdA16a32b2a = dnnl_BcdA16a32b2a;
772const format_tag_t BcdA16a32b4a = dnnl_BcdA16a32b4a;
773const format_tag_t BcdA16a48b2a = dnnl_BcdA16a48b2a;
774const format_tag_t BcdA16a48b4a = dnnl_BcdA16a48b4a;
775const format_tag_t BcdA16a64b2a = dnnl_BcdA16a64b2a;
776const format_tag_t BcdA16a64b4a = dnnl_BcdA16a64b4a;
777const format_tag_t aCdeB16b32c2b = dnnl_aCdeB16b32c2b;
778const format_tag_t aCdeB16b32c4b = dnnl_aCdeB16b32c4b;
779const format_tag_t aCdeB16b48c2b = dnnl_aCdeB16b48c2b;
780const format_tag_t aCdeB16b48c4b = dnnl_aCdeB16b48c4b;
781const format_tag_t aCdeB16b64c2b = dnnl_aCdeB16b64c2b;
782const format_tag_t aCdeB16b64c4b = dnnl_aCdeB16b64c4b;
783const format_tag_t Bca16b = dnnl_Bca16b;
784const format_tag_t BcA16b2a = dnnl_BcA16b2a;
785const format_tag_t BcA16b4a = dnnl_BcA16b4a;
786const format_tag_t Bcda16b = dnnl_Bcda16b;
787const format_tag_t BcdA16b2a = dnnl_BcdA16b2a;
788const format_tag_t BcdA16b4a = dnnl_BcdA16b4a;
789const format_tag_t Bcdea16b = dnnl_Bcdea16b;
790const format_tag_t BcdeA16b2a = dnnl_BcdeA16b2a;
791const format_tag_t BcdeA16b4a = dnnl_BcdeA16b4a;
792const format_tag_t aCdb16c = dnnl_aCdb16c;
793const format_tag_t aCdB16c2b = dnnl_aCdB16c2b;
794const format_tag_t aCdB16c4b = dnnl_aCdB16c4b;
795const format_tag_t aCdeb16c = dnnl_aCdeb16c;
796const format_tag_t aCdeB16c2b = dnnl_aCdeB16c2b;
797const format_tag_t aCdeB16c4b = dnnl_aCdeB16c4b;
798const format_tag_t aCdefb16c = dnnl_aCdefb16c;
799const format_tag_t aCdefB16c2b = dnnl_aCdefB16c2b;
800const format_tag_t aCdefB16c4b = dnnl_aCdefB16c4b;
801const format_tag_t Bcda32b = dnnl_Bcda32b;
802const format_tag_t BcdA32b2a = dnnl_BcdA32b2a;
803const format_tag_t BcdA32b4a = dnnl_BcdA32b4a;
804const format_tag_t Bcda48b = dnnl_Bcda48b;
805const format_tag_t BcdA48b2a = dnnl_BcdA48b2a;
806const format_tag_t BcdA48b4a = dnnl_BcdA48b4a;
807const format_tag_t Bcda64b = dnnl_Bcda64b;
808const format_tag_t BcdA64b2a = dnnl_BcdA64b2a;
809const format_tag_t BcdA64b4a = dnnl_BcdA64b4a;
810const format_tag_t aCdeb32c = dnnl_aCdeb32c;
811const format_tag_t aCdeB32c2b = dnnl_aCdeB32c2b;
812const format_tag_t aCdeB32c4b = dnnl_aCdeB32c4b;
813const format_tag_t aCdeb48c = dnnl_aCdeb48c;
814const format_tag_t aCdeB48c2b = dnnl_aCdeB48c2b;
815const format_tag_t aCdeB48c4b = dnnl_aCdeB48c4b;
816const format_tag_t aCdeb64c = dnnl_aCdeb64c;
817const format_tag_t aCdeB64c2b = dnnl_aCdeB64c2b;
818const format_tag_t aCdeB64c4b = dnnl_aCdeB64c4b;
819
820const format_tag_t last = dnnl_format_tag_last;
821
822const format_tag_t x = dnnl_x;
823const format_tag_t nc = dnnl_nc;
824const format_tag_t cn = dnnl_cn;
825const format_tag_t ncw = dnnl_ncw;
826const format_tag_t nwc = dnnl_nwc;
827const format_tag_t nchw = dnnl_nchw;
828const format_tag_t nhwc = dnnl_nhwc;
829const format_tag_t chwn = dnnl_chwn;
830const format_tag_t ncdhw = dnnl_ncdhw;
831const format_tag_t ndhwc = dnnl_ndhwc;
832const format_tag_t oi = dnnl_oi;
833const format_tag_t io = dnnl_io;
834const format_tag_t oiw = dnnl_oiw;
835const format_tag_t wio = dnnl_wio;
836const format_tag_t woi = dnnl_woi;
837const format_tag_t owi = dnnl_owi;
838const format_tag_t iwo = dnnl_iwo;
839const format_tag_t oihw = dnnl_oihw;
840const format_tag_t hwio = dnnl_hwio;
841const format_tag_t hwoi = dnnl_hwoi;
842const format_tag_t ohwi = dnnl_ohwi;
843const format_tag_t ihwo = dnnl_ihwo;
844const format_tag_t iohw = dnnl_iohw;
845const format_tag_t oidhw = dnnl_oidhw;
846const format_tag_t dhwio = dnnl_dhwio;
847const format_tag_t dhwoi = dnnl_dhwoi;
848const format_tag_t odhwi = dnnl_odhwi;
849const format_tag_t idhwo = dnnl_idhwo;
850
851const format_tag_t iodhw = dnnl_iodhw;
852const format_tag_t goiw = dnnl_goiw;
853const format_tag_t goihw = dnnl_goihw;
854const format_tag_t wigo = dnnl_wigo;
855const format_tag_t hwigo = dnnl_hwigo;
856const format_tag_t dhwigo = dnnl_dhwigo;
857const format_tag_t giohw = dnnl_giohw;
858const format_tag_t goidhw = dnnl_goidhw;
859const format_tag_t giodhw = dnnl_giodhw;
860const format_tag_t gowi = dnnl_gowi;
861const format_tag_t gohwi = dnnl_gohwi;
862const format_tag_t godhwi = dnnl_godhwi;
863const format_tag_t tnc = dnnl_tnc;
864const format_tag_t ntc = dnnl_ntc;
865const format_tag_t ldnc = dnnl_ldnc;
866const format_tag_t ldigo = dnnl_ldigo;
867const format_tag_t ldgoi = dnnl_ldgoi;
868const format_tag_t ldio = dnnl_ldio;
869const format_tag_t ldoi = dnnl_ldoi;
870const format_tag_t ldgo = dnnl_ldgo;
871const format_tag_t nCdhw32c = dnnl_nCdhw32c;
872const format_tag_t nCdhw16c = dnnl_nCdhw16c;
873const format_tag_t nCdhw4c = dnnl_nCdhw4c;
874const format_tag_t nCdhw8c = dnnl_nCdhw8c;
875const format_tag_t nChw32c = dnnl_nChw32c;
876const format_tag_t nChw16c = dnnl_nChw16c;
877const format_tag_t nChw4c = dnnl_nChw4c;
878const format_tag_t nChw8c = dnnl_nChw8c;
879const format_tag_t nCw32c = dnnl_nCw32c;
880const format_tag_t nCw16c = dnnl_nCw16c;
881const format_tag_t nCw4c = dnnl_nCw4c;
882const format_tag_t nCw8c = dnnl_nCw8c;
883const format_tag_t NCw16n16c = dnnl_NCw16n16c;
884const format_tag_t NChw16n16c = dnnl_NChw16n16c;
885const format_tag_t NCdhw16n16c = dnnl_NCdhw16n16c;
886const format_tag_t NCw32n16c = dnnl_NCw32n16c;
887const format_tag_t NChw32n16c = dnnl_NChw32n16c;
888const format_tag_t NCdhw32n16c = dnnl_NCdhw32n16c;
889const format_tag_t NCw40n16c = dnnl_NCw40n16c;
890const format_tag_t NChw40n16c = dnnl_NChw40n16c;
891const format_tag_t NCdhw40n16c = dnnl_NCdhw40n16c;
892const format_tag_t NCw32n32c = dnnl_NCw32n32c;
893const format_tag_t NChw32n32c = dnnl_NChw32n32c;
894const format_tag_t NCdhw32n32c = dnnl_NCdhw32n32c;
895const format_tag_t NCw40n32c = dnnl_NCw40n32c;
896const format_tag_t NChw40n32c = dnnl_NChw40n32c;
897const format_tag_t NCdhw40n32c = dnnl_NCdhw40n32c;
898const format_tag_t OI16i16o = dnnl_OI16i16o;
899const format_tag_t OI16i32o = dnnl_OI16i32o;
900const format_tag_t OI16i64o = dnnl_OI16i64o;
901const format_tag_t OI8i16o2i = dnnl_OI8i16o2i;
902const format_tag_t OI8i32o2i = dnnl_OI8i32o2i;
903const format_tag_t OI8i64o2i = dnnl_OI8i64o2i;
904const format_tag_t OI4i16o4i = dnnl_OI4i16o4i;
905const format_tag_t OI4i32o4i = dnnl_OI4i32o4i;
906const format_tag_t OI4i64o4i = dnnl_OI4i64o4i;
907const format_tag_t OI16i16o4i = dnnl_OI16i16o4i;
908const format_tag_t OI16i32o4i = dnnl_OI16i32o4i;
909const format_tag_t OI16i48o4i = dnnl_OI16i48o4i;
910const format_tag_t OI16i64o4i = dnnl_OI16i64o4i;
911const format_tag_t OI16i16o2i = dnnl_OI16i16o2i;
912const format_tag_t OI16i32o2i = dnnl_OI16i32o2i;
913const format_tag_t OI16i48o2i = dnnl_OI16i48o2i;
914const format_tag_t OI16i64o2i = dnnl_OI16i64o2i;
915const format_tag_t IOdhw16i16o = dnnl_IOdhw16i16o;
916const format_tag_t IOhw16i16o = dnnl_IOhw16i16o;
917const format_tag_t Ohwi32o = dnnl_Ohwi32o;
918const format_tag_t gIOhw16i16o = dnnl_gIOhw16i16o;
919const format_tag_t gOhwi32o = dnnl_gOhwi32o;
920const format_tag_t Goidhw16g = dnnl_Goidhw16g;
921const format_tag_t IOw16o16i = dnnl_IOw16o16i;
922const format_tag_t IOw16i16o = dnnl_IOw16i16o;
923const format_tag_t gIOw16i16o = dnnl_gIOw16i16o;
924const format_tag_t OIw16i16o = dnnl_OIw16i16o;
925const format_tag_t OIw16i32o = dnnl_OIw16i32o;
926const format_tag_t OIw16i64o = dnnl_OIw16i64o;
927const format_tag_t OIw16o16i = dnnl_OIw16o16i;
928const format_tag_t Oiw16o = dnnl_Oiw16o;
929const format_tag_t OIw4i16o4i = dnnl_OIw4i16o4i;
930const format_tag_t OIw4i32o4i = dnnl_OIw4i32o4i;
931const format_tag_t OIw4i64o4i = dnnl_OIw4i64o4i;
932const format_tag_t OIw2i8o4i = dnnl_OIw2i8o4i;
933const format_tag_t OIw16i16o4i = dnnl_OIw16i16o4i;
934const format_tag_t OIw16i32o4i = dnnl_OIw16i32o4i;
935const format_tag_t OIw16i48o4i = dnnl_OIw16i48o4i;
936const format_tag_t OIw16i64o4i = dnnl_OIw16i64o4i;
937const format_tag_t OIw16i16o2i = dnnl_OIw16i16o2i;
938const format_tag_t OIw16i32o2i = dnnl_OIw16i32o2i;
939const format_tag_t OIw16i48o2i = dnnl_OIw16i48o2i;
940const format_tag_t OIw16i64o2i = dnnl_OIw16i64o2i;
941const format_tag_t OIw16o16i2o = dnnl_OIw16o16i2o;
942const format_tag_t OIw4i4o = dnnl_OIw4i4o;
943const format_tag_t OIw4o4i = dnnl_OIw4o4i;
944const format_tag_t Oiw4o = dnnl_Oiw4o;
945const format_tag_t OIw8i16o2i = dnnl_OIw8i16o2i;
946const format_tag_t OIw8i32o2i = dnnl_OIw8i32o2i;
947const format_tag_t OIw8i64o2i = dnnl_OIw8i64o2i;
948const format_tag_t OIw8i8o = dnnl_OIw8i8o;
949const format_tag_t OIw8o16i2o = dnnl_OIw8o16i2o;
950const format_tag_t IOw8o16i2o = dnnl_IOw8o16i2o;
951const format_tag_t OIw8o8i = dnnl_OIw8o8i;
952const format_tag_t OIw8o4i = dnnl_OIw8o4i;
953const format_tag_t Owi16o = dnnl_Owi16o;
954const format_tag_t OwI16o2i = dnnl_OwI16o2i;
955const format_tag_t OwI16o4i = dnnl_OwI16o4i;
956const format_tag_t Owi4o = dnnl_Owi4o;
957const format_tag_t Owi8o = dnnl_Owi8o;
958const format_tag_t IOdhw16o16i = dnnl_IOdhw16o16i;
959const format_tag_t IOhw16o16i = dnnl_IOhw16o16i;
960const format_tag_t Ohwi16o = dnnl_Ohwi16o;
961const format_tag_t OhwI16o2i = dnnl_OhwI16o2i;
962const format_tag_t OhwI16o4i = dnnl_OhwI16o4i;
963const format_tag_t Ohwi4o = dnnl_Ohwi4o;
964const format_tag_t Ohwi8o = dnnl_Ohwi8o;
965const format_tag_t OIhw16i16o = dnnl_OIhw16i16o;
966const format_tag_t OIhw16i32o = dnnl_OIhw16i32o;
967const format_tag_t OIhw16i64o = dnnl_OIhw16i64o;
968const format_tag_t OIhw16o16i = dnnl_OIhw16o16i;
969const format_tag_t Oihw16o = dnnl_Oihw16o;
970const format_tag_t OIhw4i16o4i = dnnl_OIhw4i16o4i;
971const format_tag_t OIhw4i32o4i = dnnl_OIhw4i32o4i;
972const format_tag_t OIhw4i64o4i = dnnl_OIhw4i64o4i;
973const format_tag_t OIhw16i16o4i = dnnl_OIhw16i16o4i;
974const format_tag_t OIhw16i32o4i = dnnl_OIhw16i32o4i;
975const format_tag_t OIhw16i48o4i = dnnl_OIhw16i48o4i;
976const format_tag_t OIhw16i64o4i = dnnl_OIhw16i64o4i;
977const format_tag_t OIhw16i16o2i = dnnl_OIhw16i16o2i;
978const format_tag_t OIhw16i32o2i = dnnl_OIhw16i32o2i;
979const format_tag_t OIhw16i48o2i = dnnl_OIhw16i48o2i;
980const format_tag_t OIhw16i64o2i = dnnl_OIhw16i64o2i;
981const format_tag_t OIhw16o16i2o = dnnl_OIhw16o16i2o;
982const format_tag_t OIhw4i4o = dnnl_OIhw4i4o;
983const format_tag_t OIhw4o4i = dnnl_OIhw4o4i;
984const format_tag_t Oihw4o = dnnl_Oihw4o;
985const format_tag_t OIhw8i16o2i = dnnl_OIhw8i16o2i;
986const format_tag_t OIhw8i32o2i = dnnl_OIhw8i32o2i;
987const format_tag_t OIhw8i64o2i = dnnl_OIhw8i64o2i;
988const format_tag_t OIhw2i8o4i = dnnl_OIhw2i8o4i;
989const format_tag_t OIhw8i8o = dnnl_OIhw8i8o;
990const format_tag_t OIhw8o16i2o = dnnl_OIhw8o16i2o;
991const format_tag_t IOhw8o16i2o = dnnl_IOhw8o16i2o;
992const format_tag_t OIhw8o8i = dnnl_OIhw8o8i;
993const format_tag_t OIhw8o4i = dnnl_OIhw8o4i;
994const format_tag_t Owhi16o = dnnl_Owhi16o;
995const format_tag_t Odwhi16o = dnnl_Odwhi16o;
996const format_tag_t Odhwi16o = dnnl_Odhwi16o;
997const format_tag_t OdhwI16o2i = dnnl_OdhwI16o2i;
998const format_tag_t OdhwI16o4i = dnnl_OdhwI16o4i;
999const format_tag_t Odhwi4o = dnnl_Odhwi4o;
1000const format_tag_t Odhwi8o = dnnl_Odhwi8o;
1001const format_tag_t OIdhw16i16o = dnnl_OIdhw16i16o;
1002const format_tag_t OIdhw16i32o = dnnl_OIdhw16i32o;
1003const format_tag_t OIdhw16i64o = dnnl_OIdhw16i64o;
1004const format_tag_t OIdhw16o16i = dnnl_OIdhw16o16i;
1005const format_tag_t OIdhw16o16i2o = dnnl_OIdhw16o16i2o;
1006const format_tag_t Oidhw16o = dnnl_Oidhw16o;
1007const format_tag_t OIdhw4i4o = dnnl_OIdhw4i4o;
1008const format_tag_t OIdhw4o4i = dnnl_OIdhw4o4i;
1009const format_tag_t Oidhw4o = dnnl_Oidhw4o;
1010const format_tag_t OIdhw8i16o2i = dnnl_OIdhw8i16o2i;
1011const format_tag_t OIdhw8i32o2i = dnnl_OIdhw8i32o2i;
1012const format_tag_t OIdhw8i64o2i = dnnl_OIdhw8i64o2i;
1013const format_tag_t OIdhw4i16o4i = dnnl_OIdhw4i16o4i;
1014const format_tag_t OIdhw4i32o4i = dnnl_OIdhw4i32o4i;
1015const format_tag_t OIdhw4i64o4i = dnnl_OIdhw4i64o4i;
1016const format_tag_t OIdhw16i16o4i = dnnl_OIdhw16i16o4i;
1017const format_tag_t OIdhw16i32o4i = dnnl_OIdhw16i32o4i;
1018const format_tag_t OIdhw16i48o4i = dnnl_OIdhw16i48o4i;
1019const format_tag_t OIdhw16i64o4i = dnnl_OIdhw16i64o4i;
1020const format_tag_t OIdhw16i16o2i = dnnl_OIdhw16i16o2i;
1021const format_tag_t OIdhw16i32o2i = dnnl_OIdhw16i32o2i;
1022const format_tag_t OIdhw16i48o2i = dnnl_OIdhw16i48o2i;
1023const format_tag_t OIdhw16i64o2i = dnnl_OIdhw16i64o2i;
1024const format_tag_t OIdhw2i8o4i = dnnl_OIdhw2i8o4i;
1025const format_tag_t OIdhw8o16i2o = dnnl_OIdhw8o16i2o;
1026const format_tag_t IOdhw8o16i2o = dnnl_IOdhw8o16i2o;
1027const format_tag_t OIdhw8i8o = dnnl_OIdhw8i8o;
1028const format_tag_t OIdhw8o8i = dnnl_OIdhw8o8i;
1029const format_tag_t OIdhw8o4i = dnnl_OIdhw8o4i;
1030const format_tag_t gIOw16o16i = dnnl_gIOw16o16i;
1031const format_tag_t Goiw16g = dnnl_Goiw16g;
1032const format_tag_t Goiw8g = dnnl_Goiw8g;
1033const format_tag_t Goiw4g = dnnl_Goiw4g;
1034const format_tag_t gOIw16i16o = dnnl_gOIw16i16o;
1035const format_tag_t gOIw16o16i = dnnl_gOIw16o16i;
1036const format_tag_t gOiw16o = dnnl_gOiw16o;
1037const format_tag_t gOIw4i16o4i = dnnl_gOIw4i16o4i;
1038const format_tag_t gOIw2i8o4i = dnnl_gOIw2i8o4i;
1039const format_tag_t gOIw16i16o4i = dnnl_gOIw16i16o4i;
1040const format_tag_t gOIw16i16o2i = dnnl_gOIw16i16o2i;
1041const format_tag_t gOIw16o16i2o = dnnl_gOIw16o16i2o;
1042const format_tag_t gOIw4i4o = dnnl_gOIw4i4o;
1043const format_tag_t gOIw4o4i = dnnl_gOIw4o4i;
1044const format_tag_t gOiw4o = dnnl_gOiw4o;
1045const format_tag_t gOIw8i16o2i = dnnl_gOIw8i16o2i;
1046const format_tag_t gOIw8i8o = dnnl_gOIw8i8o;
1047const format_tag_t gOIw8o16i2o = dnnl_gOIw8o16i2o;
1048const format_tag_t gIOw8o16i2o = dnnl_gIOw8o16i2o;
1049const format_tag_t gOIw8o8i = dnnl_gOIw8o8i;
1050const format_tag_t gOIw8o4i = dnnl_gOIw8o4i;
1051const format_tag_t gOwi16o = dnnl_gOwi16o;
1052const format_tag_t gOwI16o2i = dnnl_gOwI16o2i;
1053const format_tag_t gOwI16o4i = dnnl_gOwI16o4i;
1054const format_tag_t gOwi4o = dnnl_gOwi4o;
1055const format_tag_t gOwi8o = dnnl_gOwi8o;
1056const format_tag_t gIOdhw16o16i = dnnl_gIOdhw16o16i;
1057const format_tag_t gIOhw16o16i = dnnl_gIOhw16o16i;
1058const format_tag_t gOhwi16o = dnnl_gOhwi16o;
1059const format_tag_t gOhwI16o2i = dnnl_gOhwI16o2i;
1060const format_tag_t gOhwI16o4i = dnnl_gOhwI16o4i;
1061const format_tag_t gOhwi4o = dnnl_gOhwi4o;
1062const format_tag_t gOhwi8o = dnnl_gOhwi8o;
1063const format_tag_t Goihw16g = dnnl_Goihw16g;
1064const format_tag_t gOIhw16i16o = dnnl_gOIhw16i16o;
1065const format_tag_t gOIhw16o16i = dnnl_gOIhw16o16i;
1066const format_tag_t gOihw16o = dnnl_gOihw16o;
1067const format_tag_t gOIhw2i8o4i = dnnl_gOIhw2i8o4i;
1068const format_tag_t gOIhw4i16o4i = dnnl_gOIhw4i16o4i;
1069const format_tag_t gOIhw16i16o4i = dnnl_gOIhw16i16o4i;
1070const format_tag_t gOIhw16i16o2i = dnnl_gOIhw16i16o2i;
1071const format_tag_t gOIhw16o16i2o = dnnl_gOIhw16o16i2o;
1072const format_tag_t gOIhw4i4o = dnnl_gOIhw4i4o;
1073const format_tag_t gOIhw4o4i = dnnl_gOIhw4o4i;
1074const format_tag_t gOihw4o = dnnl_gOihw4o;
1075const format_tag_t Goihw8g = dnnl_Goihw8g;
1076const format_tag_t Goihw4g = dnnl_Goihw4g;
1077const format_tag_t gOIhw8i16o2i = dnnl_gOIhw8i16o2i;
1078const format_tag_t gOIhw8i8o = dnnl_gOIhw8i8o;
1079const format_tag_t gOIhw8o16i2o = dnnl_gOIhw8o16i2o;
1080const format_tag_t OIw4o8i8o4i = dnnl_OIw4o8i8o4i;
1081const format_tag_t gIOhw8o16i2o = dnnl_gIOhw8o16i2o;
1082const format_tag_t OIhw4o8i8o4i = dnnl_OIhw4o8i8o4i;
1083const format_tag_t OIdhw4o8i8o4i = dnnl_OIdhw4o8i8o4i;
1084const format_tag_t IOw4i8o8i4o = dnnl_IOw4i8o8i4o;
1085const format_tag_t IOhw4i8o8i4o = dnnl_IOhw4i8o8i4o;
1086const format_tag_t IOdhw4i8o8i4o = dnnl_IOdhw4i8o8i4o;
1087const format_tag_t gIOw4i8o8i4o = dnnl_gIOw4i8o8i4o;
1088const format_tag_t gIOhw4i8o8i4o = dnnl_gIOhw4i8o8i4o;
1089const format_tag_t gIOdhw4i8o8i4o = dnnl_gIOdhw4i8o8i4o;
1090const format_tag_t OIhw2o8i8o2i = dnnl_OIhw2o8i8o2i;
1091const format_tag_t gOIw4o8i8o4i = dnnl_gOIw4o8i8o4i;
1092const format_tag_t gOIhw4o8i8o4i = dnnl_gOIhw4o8i8o4i;
1093const format_tag_t gOIdhw4o8i8o4i = dnnl_gOIdhw4o8i8o4i;
1094const format_tag_t gOIhw2o8i8o2i = dnnl_gOIhw2o8i8o2i;
1095const format_tag_t gOIhw8o8i = dnnl_gOIhw8o8i;
1096const format_tag_t gOIhw8o4i = dnnl_gOIhw8o4i;
1097const format_tag_t gOwhi16o = dnnl_gOwhi16o;
1098const format_tag_t gOdwhi16o = dnnl_gOdwhi16o;
1099const format_tag_t gIOdhw16i16o = dnnl_gIOdhw16i16o;
1100const format_tag_t gOdhwi16o = dnnl_gOdhwi16o;
1101const format_tag_t gOdhwI16o2i = dnnl_gOdhwI16o2i;
1102const format_tag_t gOdhwI16o4i = dnnl_gOdhwI16o4i;
1103const format_tag_t gOdhwi4o = dnnl_gOdhwi4o;
1104const format_tag_t gOdhwi8o = dnnl_gOdhwi8o;
1105const format_tag_t gOIdhw16i16o = dnnl_gOIdhw16i16o;
1106const format_tag_t gOIdhw16o16i = dnnl_gOIdhw16o16i;
1107const format_tag_t gOIdhw16o16i2o = dnnl_gOIdhw16o16i2o;
1108const format_tag_t gOidhw16o = dnnl_gOidhw16o;
1109const format_tag_t gOIdhw4i4o = dnnl_gOIdhw4i4o;
1110const format_tag_t gOIdhw4o4i = dnnl_gOIdhw4o4i;
1111const format_tag_t gOidhw4o = dnnl_gOidhw4o;
1112const format_tag_t gOIdhw8i16o2i = dnnl_gOIdhw8i16o2i;
1113const format_tag_t gOIdhw4i16o4i = dnnl_gOIdhw4i16o4i;
1114const format_tag_t gOIdhw16i16o4i = dnnl_gOIdhw16i16o4i;
1115const format_tag_t gOIdhw2i8o4i = dnnl_gOIdhw2i8o4i;
1116const format_tag_t gOIdhw16i16o2i = dnnl_gOIdhw16i16o2i;
1117const format_tag_t gOIdhw8o16i2o = dnnl_gOIdhw8o16i2o;
1118const format_tag_t gIOdhw8o16i2o = dnnl_gIOdhw8o16i2o;
1119const format_tag_t gOIdhw8i8o = dnnl_gOIdhw8i8o;
1120const format_tag_t gOIdhw8o8i = dnnl_gOIdhw8o8i;
1121const format_tag_t gOIdhw8o4i = dnnl_gOIdhw8o4i;
1122const format_tag_t Goiw32g = dnnl_Goiw32g;
1123const format_tag_t Goihw32g = dnnl_Goihw32g;
1124const format_tag_t Goidhw32g = dnnl_Goidhw32g;
1125const format_tag_t OIdhw4o8i8o2i = dnnl_OIdhw4o8i8o2i;
1126const format_tag_t OIhw4o8i8o2i = dnnl_OIhw4o8i8o2i;
1127const format_tag_t OIw4o8i8o2i = dnnl_OIw4o8i8o2i;
1128const format_tag_t gOIdhw4o8i8o2i = dnnl_gOIdhw4o8i8o2i;
1129const format_tag_t gOIhw4o8i8o2i = dnnl_gOIhw4o8i8o2i;
1130const format_tag_t gOIw4o8i8o2i = dnnl_gOIw4o8i8o2i;
1131const format_tag_t IOdhw4i8o8i2o = dnnl_IOdhw4i8o8i2o;
1132const format_tag_t IOhw4i8o8i2o = dnnl_IOhw4i8o8i2o;
1133const format_tag_t IOw4i8o8i2o = dnnl_IOw4i8o8i2o;
1134const format_tag_t gIOdhw4i8o8i2o = dnnl_gIOdhw4i8o8i2o;
1135const format_tag_t gIOhw4i8o8i2o = dnnl_gIOhw4i8o8i2o;
1136const format_tag_t gIOw4i8o8i2o = dnnl_gIOw4i8o8i2o;
1137const format_tag_t gOIw2i4o2i = dnnl_gOIw2i4o2i;
1138const format_tag_t gOIhw2i4o2i = dnnl_gOIhw2i4o2i;
1139const format_tag_t gOIdhw2i4o2i = dnnl_gOIdhw2i4o2i;
1140const format_tag_t gOIw2o4i2o = dnnl_gOIw2o4i2o;
1141const format_tag_t gOIhw2o4i2o = dnnl_gOIhw2o4i2o;
1142const format_tag_t gOIdhw2o4i2o = dnnl_gOIdhw2o4i2o;
1143const format_tag_t gOIw4i8o2i = dnnl_gOIw4i8o2i;
1144const format_tag_t gOIhw4i8o2i = dnnl_gOIhw4i8o2i;
1145const format_tag_t gOIdhw4i8o2i = dnnl_gOIdhw4i8o2i;
1146const format_tag_t gOIw4o8i2o = dnnl_gOIw4o8i2o;
1147const format_tag_t gOIhw4o8i2o = dnnl_gOIhw4o8i2o;
1148const format_tag_t gOIdhw4o8i2o = dnnl_gOIdhw4o8i2o;
1149const format_tag_t ldOi32o = dnnl_ldOi32o;
1150const format_tag_t ldOI32o4i = dnnl_ldOI32o4i;
1151const format_tag_t ldIo32i = dnnl_ldIo32i;
1152const format_tag_t ldgOi32o = dnnl_ldgOi32o;
1153const format_tag_t ldgOI32o2i = dnnl_ldgOI32o2i;
1154const format_tag_t ldgOI32o4i = dnnl_ldgOI32o4i;
1155const format_tag_t ldgOI64o2i = dnnl_ldgOI64o2i;
1156const format_tag_t ldgOI64o4i = dnnl_ldgOI64o4i;
1157const format_tag_t ldgIo32i = dnnl_ldgIo32i;
1158const format_tag_t ldgIO32i2o = dnnl_ldgIO32i2o;
1159
1160const format_tag_t wIo2i = dnnl_wIo2i;
1161const format_tag_t wIo4i = dnnl_wIo4i;
1162const format_tag_t gwio = dnnl_gwio;
1163const format_tag_t gwIo2i = dnnl_gwIo2i;
1164const format_tag_t gwIo4i = dnnl_gwIo4i;
1165const format_tag_t hwIo2i = dnnl_hwIo2i;
1166const format_tag_t hwIo4i = dnnl_hwIo4i;
1167const format_tag_t ghwio = dnnl_ghwio;
1168const format_tag_t ghwIo2i = dnnl_ghwIo2i;
1169const format_tag_t ghwIo4i = dnnl_ghwIo4i;
1170const format_tag_t dhwIo2i = dnnl_dhwIo2i;
1171const format_tag_t dhwIo4i = dnnl_dhwIo4i;
1172const format_tag_t gdhwio = dnnl_gdhwio;
1173const format_tag_t gdhwIo2i = dnnl_gdhwIo2i;
1174const format_tag_t gdhwIo4i = dnnl_gdhwIo4i;
1175const format_tag_t Owi32o = dnnl_Owi32o;
1176const format_tag_t OwI32o2i = dnnl_OwI32o2i;
1177const format_tag_t OwI32o4i = dnnl_OwI32o4i;
1178const format_tag_t Owi48o = dnnl_Owi48o;
1179const format_tag_t OwI48o2i = dnnl_OwI48o2i;
1180const format_tag_t OwI48o4i = dnnl_OwI48o4i;
1181const format_tag_t Owi64o = dnnl_Owi64o;
1182const format_tag_t OwI64o2i = dnnl_OwI64o2i;
1183const format_tag_t OwI64o4i = dnnl_OwI64o4i;
1184const format_tag_t OhwI32o2i = dnnl_OhwI32o2i;
1185const format_tag_t OhwI32o4i = dnnl_OhwI32o4i;
1186const format_tag_t Ohwi48o = dnnl_Ohwi48o;
1187const format_tag_t OhwI48o2i = dnnl_OhwI48o2i;
1188const format_tag_t OhwI48o4i = dnnl_OhwI48o4i;
1189const format_tag_t Ohwi64o = dnnl_Ohwi64o;
1190const format_tag_t OhwI64o2i = dnnl_OhwI64o2i;
1191const format_tag_t OhwI64o4i = dnnl_OhwI64o4i;
1192const format_tag_t Odhwi32o = dnnl_Odhwi32o;
1193const format_tag_t OdhwI32o2i = dnnl_OdhwI32o2i;
1194const format_tag_t OdhwI32o4i = dnnl_OdhwI32o4i;
1195const format_tag_t Odhwi48o = dnnl_Odhwi48o;
1196const format_tag_t OdhwI48o2i = dnnl_OdhwI48o2i;
1197const format_tag_t OdhwI48o4i = dnnl_OdhwI48o4i;
1198const format_tag_t Odhwi64o = dnnl_Odhwi64o;
1199const format_tag_t OdhwI64o2i = dnnl_OdhwI64o2i;
1200const format_tag_t OdhwI64o4i = dnnl_OdhwI64o4i;
1201const format_tag_t gOwi32o = dnnl_gOwi32o;
1202const format_tag_t gOwI32o2i = dnnl_gOwI32o2i;
1203const format_tag_t gOwI32o4i = dnnl_gOwI32o4i;
1204const format_tag_t gOwi48o = dnnl_gOwi48o;
1205const format_tag_t gOwI48o2i = dnnl_gOwI48o2i;
1206const format_tag_t gOwI48o4i = dnnl_gOwI48o4i;
1207const format_tag_t gOwi64o = dnnl_gOwi64o;
1208const format_tag_t gOwI64o2i = dnnl_gOwI64o2i;
1209const format_tag_t gOwI64o4i = dnnl_gOwI64o4i;
1210const format_tag_t gOhwI32o2i = dnnl_gOhwI32o2i;
1211const format_tag_t gOhwI32o4i = dnnl_gOhwI32o4i;
1212const format_tag_t gOhwi48o = dnnl_gOhwi48o;
1213const format_tag_t gOhwI48o2i = dnnl_gOhwI48o2i;
1214const format_tag_t gOhwI48o4i = dnnl_gOhwI48o4i;
1215const format_tag_t gOhwi64o = dnnl_gOhwi64o;
1216const format_tag_t gOhwI64o2i = dnnl_gOhwI64o2i;
1217const format_tag_t gOhwI64o4i = dnnl_gOhwI64o4i;
1218const format_tag_t gOdhwi32o = dnnl_gOdhwi32o;
1219const format_tag_t gOdhwI32o2i = dnnl_gOdhwI32o2i;
1220const format_tag_t gOdhwI32o4i = dnnl_gOdhwI32o4i;
1221const format_tag_t gOdhwi48o = dnnl_gOdhwi48o;
1222const format_tag_t gOdhwI48o2i = dnnl_gOdhwI48o2i;
1223const format_tag_t gOdhwI48o4i = dnnl_gOdhwI48o4i;
1224const format_tag_t gOdhwi64o = dnnl_gOdhwi64o;
1225const format_tag_t gOdhwI64o2i = dnnl_gOdhwI64o2i;
1226const format_tag_t gOdhwI64o4i = dnnl_gOdhwI64o4i;
1227const format_tag_t ABc2b8a16b4a = dnnl_ABc2b8a16b4a;
1228const format_tag_t ABcd2b8a16b4a = dnnl_ABcd2b8a16b4a;
1229const format_tag_t ABcde2b8a16b4a = dnnl_ABcde2b8a16b4a;
1230const format_tag_t ABc2a8b16a4b = dnnl_ABc2a8b16a4b;
1231const format_tag_t ABcd2a8b16a4b = dnnl_ABcd2a8b16a4b;
1232const format_tag_t ABcde2a8b16a4b = dnnl_ABcde2a8b16a4b;
1233const format_tag_t ABc2a8b16a2b = dnnl_ABc2a8b16a2b;
1234const format_tag_t ABcd2a8b16a2b = dnnl_ABcd2a8b16a2b;
1235const format_tag_t ABcde2a8b16a2b = dnnl_ABcde2a8b16a2b;
1236const format_tag_t aBCd2b8c16b2c = dnnl_aBCd2b8c16b2c;
1237const format_tag_t aBCde2b8c16b2c = dnnl_aBCde2b8c16b2c;
1238const format_tag_t aBCdef2b8c16b2c = dnnl_aBCdef2b8c16b2c;
1239const format_tag_t aBCd2b8c16b4c = dnnl_aBCd2b8c16b4c;
1240const format_tag_t aBCde2b8c16b4c = dnnl_aBCde2b8c16b4c;
1241const format_tag_t BAc2b8a16b2a = dnnl_BAc2b8a16b2a;
1242const format_tag_t aBCde2c8b16c2b = dnnl_aBCde2c8b16c2b;
1243const format_tag_t aBCdef2c8b16c2b = dnnl_aBCdef2c8b16c2b;
1244const format_tag_t BAcd2b8a16b2a = dnnl_BAcd2b8a16b2a;
1245const format_tag_t BAcde2b8a16b2a = dnnl_BAcde2b8a16b2a;
1246const format_tag_t aCBd2c8b16c2b = dnnl_aCBd2c8b16c2b;
1247const format_tag_t aCBde2c8b16c2b = dnnl_aCBde2c8b16c2b;
1248const format_tag_t aCBdef2c8b16c2b = dnnl_aCBdef2c8b16c2b;
1249const format_tag_t BAc2b8a16b4a = dnnl_BAc2b8a16b4a;
1250const format_tag_t BAcd2b8a16b4a = dnnl_BAcd2b8a16b4a;
1251const format_tag_t BAcde2b8a16b4a = dnnl_BAcde2b8a16b4a;
1252const format_tag_t ABc2b32a8b = dnnl_ABc2b32a8b;
1253const format_tag_t ABcd2b32a8b = dnnl_ABcd2b32a8b;
1254const format_tag_t ABcde2b32a8b = dnnl_ABcde2b32a8b;
1255const format_tag_t aBC2b8c16b2c = dnnl_aBC2b8c16b2c;
1256const format_tag_t NCw2c32n8c = dnnl_NCw2c32n8c;
1257const format_tag_t NChw2c32n8c = dnnl_NChw2c32n8c;
1258const format_tag_t NCdhw2c32n8c = dnnl_NCdhw2c32n8c;
1259const format_tag_t OIw2i8o16i4o = dnnl_OIw2i8o16i4o;
1260const format_tag_t OIhw2i8o16i4o = dnnl_OIhw2i8o16i4o;
1261const format_tag_t OIdhw2i8o16i4o = dnnl_OIdhw2i8o16i4o;
1262const format_tag_t OIw2o8i16o4i = dnnl_OIw2o8i16o4i;
1263const format_tag_t OIhw2o8i16o4i = dnnl_OIhw2o8i16o4i;
1264const format_tag_t OIdhw2o8i16o4i = dnnl_OIdhw2o8i16o4i;
1265const format_tag_t OIw2o8i16o2i = dnnl_OIw2o8i16o2i;
1266const format_tag_t OIhw2o8i16o2i = dnnl_OIhw2o8i16o2i;
1267const format_tag_t OIdhw2o8i16o2i = dnnl_OIdhw2o8i16o2i;
1268const format_tag_t IOw2i8o16i4o = dnnl_IOw2i8o16i4o;
1269const format_tag_t IOhw2i8o16i4o = dnnl_IOhw2i8o16i4o;
1270const format_tag_t IOdhw2i8o16i4o = dnnl_IOdhw2i8o16i4o;
1271const format_tag_t IOw2i8o16i2o = dnnl_IOw2i8o16i2o;
1272const format_tag_t IOhw2i8o16i2o = dnnl_IOhw2i8o16i2o;
1273const format_tag_t IOdhw2i8o16i2o = dnnl_IOdhw2i8o16i2o;
1274const format_tag_t gOIw2o8i16o2i = dnnl_gOIw2o8i16o2i;
1275const format_tag_t gOIhw2o8i16o2i = dnnl_gOIhw2o8i16o2i;
1276const format_tag_t gOIdhw2o8i16o2i = dnnl_gOIdhw2o8i16o2i;
1277const format_tag_t gOIw2o8i16o4i = dnnl_gOIw2o8i16o4i;
1278const format_tag_t gOIhw2o8i16o4i = dnnl_gOIhw2o8i16o4i;
1279const format_tag_t gIOw2i8o16i2o = dnnl_gIOw2i8o16i2o;
1280const format_tag_t gIOhw2i8o16i2o = dnnl_gIOhw2i8o16i2o;
1281const format_tag_t gIOdhw2i8o16i2o = dnnl_gIOdhw2i8o16i2o;
1282const format_tag_t OwI16i16o2i = dnnl_OwI16i16o2i;
1283const format_tag_t OwI16i16o4i = dnnl_OwI16i16o4i;
1284const format_tag_t OhwI16i16o2i = dnnl_OhwI16i16o2i;
1285const format_tag_t OhwI16i16o4i = dnnl_OhwI16i16o4i;
1286const format_tag_t OdhwI16i16o2i = dnnl_OdhwI16i16o2i;
1287const format_tag_t OdhwI16i16o4i = dnnl_OdhwI16i16o4i;
1288const format_tag_t gOwI16i16o2i = dnnl_gOwI16i16o2i;
1289const format_tag_t gOwI16i16o4i = dnnl_gOwI16i16o4i;
1290const format_tag_t gOhwI16i16o2i = dnnl_gOhwI16i16o2i;
1291const format_tag_t gOhwI16i16o4i = dnnl_gOhwI16i16o4i;
1292const format_tag_t gOdhwI16i16o2i = dnnl_gOdhwI16i16o2i;
1293const format_tag_t gOdhwI16i16o4i = dnnl_gOdhwI16i16o4i;
1294const format_tag_t OwI16i32o2i = dnnl_OwI16i32o2i;
1295const format_tag_t OwI16i32o4i = dnnl_OwI16i32o4i;
1296const format_tag_t OwI16i48o2i = dnnl_OwI16i48o2i;
1297const format_tag_t OwI16i48o4i = dnnl_OwI16i48o4i;
1298const format_tag_t OwI16i64o2i = dnnl_OwI16i64o2i;
1299const format_tag_t OwI16i64o4i = dnnl_OwI16i64o4i;
1300const format_tag_t OhwI16i32o2i = dnnl_OhwI16i32o2i;
1301const format_tag_t OhwI16i32o4i = dnnl_OhwI16i32o4i;
1302const format_tag_t OhwI16i48o2i = dnnl_OhwI16i48o2i;
1303const format_tag_t OhwI16i48o4i = dnnl_OhwI16i48o4i;
1304const format_tag_t OhwI16i64o2i = dnnl_OhwI16i64o2i;
1305const format_tag_t OhwI16i64o4i = dnnl_OhwI16i64o4i;
1306const format_tag_t OdhwI16i32o2i = dnnl_OdhwI16i32o2i;
1307const format_tag_t OdhwI16i32o4i = dnnl_OdhwI16i32o4i;
1308const format_tag_t OdhwI16i48o2i = dnnl_OdhwI16i48o2i;
1309const format_tag_t OdhwI16i48o4i = dnnl_OdhwI16i48o4i;
1310const format_tag_t OdhwI16i64o2i = dnnl_OdhwI16i64o2i;
1311const format_tag_t OdhwI16i64o4i = dnnl_OdhwI16i64o4i;
1312const format_tag_t IdhwO16o32i2o = dnnl_IdhwO16o32i2o;
1313const format_tag_t IdhwO16o32i4o = dnnl_IdhwO16o32i4o;
1314const format_tag_t IdhwO16o48i2o = dnnl_IdhwO16o48i2o;
1315const format_tag_t IdhwO16o48i4o = dnnl_IdhwO16o48i4o;
1316const format_tag_t IdhwO16o64i2o = dnnl_IdhwO16o64i2o;
1317const format_tag_t IdhwO16o64i4o = dnnl_IdhwO16o64i4o;
1318const format_tag_t gOwI16i32o2i = dnnl_gOwI16i32o2i;
1319const format_tag_t gOwI16i32o4i = dnnl_gOwI16i32o4i;
1320const format_tag_t gOwI16i48o2i = dnnl_gOwI16i48o2i;
1321const format_tag_t gOwI16i48o4i = dnnl_gOwI16i48o4i;
1322const format_tag_t gOwI16i64o2i = dnnl_gOwI16i64o2i;
1323const format_tag_t gOwI16i64o4i = dnnl_gOwI16i64o4i;
1324const format_tag_t gOhwI16i32o2i = dnnl_gOhwI16i32o2i;
1325const format_tag_t gOhwI16i32o4i = dnnl_gOhwI16i32o4i;
1326const format_tag_t gOhwI16i48o2i = dnnl_gOhwI16i48o2i;
1327const format_tag_t gOhwI16i48o4i = dnnl_gOhwI16i48o4i;
1328const format_tag_t gOhwI16i64o2i = dnnl_gOhwI16i64o2i;
1329const format_tag_t gOhwI16i64o4i = dnnl_gOhwI16i64o4i;
1330const format_tag_t gOdhwI16i32o2i = dnnl_gOdhwI16i32o2i;
1331const format_tag_t gOdhwI16i32o4i = dnnl_gOdhwI16i32o4i;
1332const format_tag_t gOdhwI16i48o2i = dnnl_gOdhwI16i48o2i;
1333const format_tag_t gOdhwI16i48o4i = dnnl_gOdhwI16i48o4i;
1334const format_tag_t gOdhwI16i64o2i = dnnl_gOdhwI16i64o2i;
1335const format_tag_t gOdhwI16i64o4i = dnnl_gOdhwI16i64o4i;
1336const format_tag_t gIdhwO16o32i2o = dnnl_gIdhwO16o32i2o;
1337const format_tag_t gIdhwO16o32i4o = dnnl_gIdhwO16o32i4o;
1338const format_tag_t gIdhwO16o48i2o = dnnl_gIdhwO16o48i2o;
1339const format_tag_t gIdhwO16o48i4o = dnnl_gIdhwO16o48i4o;
1340const format_tag_t gIdhwO16o64i2o = dnnl_gIdhwO16o64i2o;
1341const format_tag_t gIdhwO16o64i4o = dnnl_gIdhwO16o64i4o;
1342
1343const format_tag_t Idhwo32i = dnnl_Idhwo32i;
1344const format_tag_t IdhwO32i2o = dnnl_IdhwO32i2o;
1345const format_tag_t IdhwO32i4o = dnnl_IdhwO32i4o;
1346const format_tag_t Idhwo48i = dnnl_Idhwo48i;
1347const format_tag_t IdhwO48i2o = dnnl_IdhwO48i2o;
1348const format_tag_t IdhwO48i4o = dnnl_IdhwO48i4o;
1349const format_tag_t Idhwo64i = dnnl_Idhwo64i;
1350const format_tag_t IdhwO64i2o = dnnl_IdhwO64i2o;
1351const format_tag_t IdhwO64i4o = dnnl_IdhwO64i4o;
1352
1353const format_tag_t gIdhwo32i = dnnl_gIdhwo32i;
1354const format_tag_t gIdhwO32i2o = dnnl_gIdhwO32i2o;
1355const format_tag_t gIdhwO32i4o = dnnl_gIdhwO32i4o;
1356const format_tag_t gIdhwo48i = dnnl_gIdhwo48i;
1357const format_tag_t gIdhwO48i2o = dnnl_gIdhwO48i2o;
1358const format_tag_t gIdhwO48i4o = dnnl_gIdhwO48i4o;
1359const format_tag_t gIdhwo64i = dnnl_gIdhwo64i;
1360const format_tag_t gIdhwO64i2o = dnnl_gIdhwO64i2o;
1361const format_tag_t gIdhwO64i4o = dnnl_gIdhwO64i4o;
1362
1363const format_tag_t Iwo32i = dnnl_Iwo32i;
1364const format_tag_t IwO32i2o = dnnl_IwO32i2o;
1365const format_tag_t IwO32i4o = dnnl_IwO32i4o;
1366const format_tag_t Iwo48i = dnnl_Iwo48i;
1367const format_tag_t IwO48i2o = dnnl_IwO48i2o;
1368const format_tag_t IwO48i4o = dnnl_IwO48i4o;
1369const format_tag_t Iwo64i = dnnl_Iwo64i;
1370const format_tag_t IwO64i2o = dnnl_IwO64i2o;
1371const format_tag_t IwO64i4o = dnnl_IwO64i4o;
1372
1373const format_tag_t gIwo32i = dnnl_gIwo32i;
1374const format_tag_t gIwO32i2o = dnnl_gIwO32i2o;
1375const format_tag_t gIwO32i4o = dnnl_gIwO32i4o;
1376const format_tag_t gIwo48i = dnnl_gIwo48i;
1377const format_tag_t gIwO48i2o = dnnl_gIwO48i2o;
1378const format_tag_t gIwO48i4o = dnnl_gIwO48i4o;
1379const format_tag_t gIwo64i = dnnl_gIwo64i;
1380const format_tag_t gIwO64i2o = dnnl_gIwO64i2o;
1381const format_tag_t gIwO64i4o = dnnl_gIwO64i4o;
1382
1383const format_tag_t IwO16o16i2o = dnnl_IwO16o16i2o;
1384const format_tag_t IwO16o16i4o = dnnl_IwO16o16i4o;
1385const format_tag_t IhwO16o16i2o = dnnl_IhwO16o16i2o;
1386const format_tag_t IhwO16o16i4o = dnnl_IhwO16o16i4o;
1387const format_tag_t IdhwO16o16i2o = dnnl_IdhwO16o16i2o;
1388const format_tag_t IdhwO16o16i4o = dnnl_IdhwO16o16i4o;
1389
1390const format_tag_t gIwO16o16i2o = dnnl_gIwO16o16i2o;
1391const format_tag_t gIwO16o16i4o = dnnl_gIwO16o16i4o;
1392const format_tag_t gIhwO16o16i2o = dnnl_gIhwO16o16i2o;
1393const format_tag_t gIhwO16o16i4o = dnnl_gIhwO16o16i4o;
1394const format_tag_t gIdhwO16o16i2o = dnnl_gIdhwO16o16i2o;
1395const format_tag_t gIdhwO16o16i4o = dnnl_gIdhwO16o16i4o;
1396
1397const format_tag_t IwO16o32i2o = dnnl_IwO16o32i2o;
1398const format_tag_t IwO16o32i4o = dnnl_IwO16o32i4o;
1399const format_tag_t IwO16o48i2o = dnnl_IwO16o48i2o;
1400const format_tag_t IwO16o48i4o = dnnl_IwO16o48i4o;
1401const format_tag_t IwO16o64i2o = dnnl_IwO16o64i2o;
1402const format_tag_t IwO16o64i4o = dnnl_IwO16o64i4o;
1403
1404const format_tag_t gIwO16o32i2o = dnnl_gIwO16o32i2o;
1405const format_tag_t gIwO16o32i4o = dnnl_gIwO16o32i4o;
1406const format_tag_t gIwO16o48i2o = dnnl_gIwO16o48i2o;
1407const format_tag_t gIwO16o48i4o = dnnl_gIwO16o48i4o;
1408const format_tag_t gIwO16o64i2o = dnnl_gIwO16o64i2o;
1409const format_tag_t gIwO16o64i4o = dnnl_gIwO16o64i4o;
1410
1411const format_tag_t IhwO16o32i2o = dnnl_IhwO16o32i2o;
1412const format_tag_t IhwO16o32i4o = dnnl_IhwO16o32i4o;
1413const format_tag_t IhwO16o48i2o = dnnl_IhwO16o48i2o;
1414const format_tag_t IhwO16o48i4o = dnnl_IhwO16o48i4o;
1415const format_tag_t IhwO16o64i2o = dnnl_IhwO16o64i2o;
1416const format_tag_t IhwO16o64i4o = dnnl_IhwO16o64i4o;
1417
1418const format_tag_t gIhwO16o32i2o = dnnl_gIhwO16o32i2o;
1419const format_tag_t gIhwO16o32i4o = dnnl_gIhwO16o32i4o;
1420const format_tag_t gIhwO16o48i2o = dnnl_gIhwO16o48i2o;
1421const format_tag_t gIhwO16o48i4o = dnnl_gIhwO16o48i4o;
1422const format_tag_t gIhwO16o64i2o = dnnl_gIhwO16o64i2o;
1423const format_tag_t gIhwO16o64i4o = dnnl_gIhwO16o64i4o;
1424
1425const format_tag_t Ihwo32i = dnnl_Ihwo32i;
1426const format_tag_t IhwO32i2o = dnnl_IhwO32i2o;
1427const format_tag_t IhwO32i4o = dnnl_IhwO32i4o;
1428const format_tag_t Ihwo48i = dnnl_Ihwo48i;
1429const format_tag_t IhwO48i2o = dnnl_IhwO48i2o;
1430const format_tag_t IhwO48i4o = dnnl_IhwO48i4o;
1431const format_tag_t Ihwo64i = dnnl_Ihwo64i;
1432const format_tag_t IhwO64i2o = dnnl_IhwO64i2o;
1433const format_tag_t IhwO64i4o = dnnl_IhwO64i4o;
1434
1435const format_tag_t gIhwo32i = dnnl_gIhwo32i;
1436const format_tag_t gIhwO32i2o = dnnl_gIhwO32i2o;
1437const format_tag_t gIhwO32i4o = dnnl_gIhwO32i4o;
1438const format_tag_t gIhwo48i = dnnl_gIhwo48i;
1439const format_tag_t gIhwO48i2o = dnnl_gIhwO48i2o;
1440const format_tag_t gIhwO48i4o = dnnl_gIhwO48i4o;
1441const format_tag_t gIhwo64i = dnnl_gIhwo64i;
1442const format_tag_t gIhwO64i2o = dnnl_gIhwO64i2o;
1443const format_tag_t gIhwO64i4o = dnnl_gIhwO64i4o;
1444
1445const format_tag_t Iwo16i = dnnl_Iwo16i;
1446const format_tag_t IwO16i2o = dnnl_IwO16i2o;
1447const format_tag_t IwO16i4o = dnnl_IwO16i4o;
1448
1449const format_tag_t Ihwo16i = dnnl_Ihwo16i;
1450const format_tag_t IhwO16i2o = dnnl_IhwO16i2o;
1451const format_tag_t IhwO16i4o = dnnl_IhwO16i4o;
1452
1453const format_tag_t Idhwo16i = dnnl_Idhwo16i;
1454const format_tag_t IdhwO16i2o = dnnl_IdhwO16i2o;
1455const format_tag_t IdhwO16i4o = dnnl_IdhwO16i4o;
1456
1457const format_tag_t gIwo16i = dnnl_gIwo16i;
1458const format_tag_t gIwO16i2o = dnnl_gIwO16i2o;
1459const format_tag_t gIwO16i4o = dnnl_gIwO16i4o;
1460
1461const format_tag_t gIhwo16i = dnnl_gIhwo16i;
1462const format_tag_t gIhwO16i2o = dnnl_gIhwO16i2o;
1463const format_tag_t gIhwO16i4o = dnnl_gIhwO16i4o;
1464
1465const format_tag_t gIdhwo16i = dnnl_gIdhwo16i;
1466const format_tag_t gIdhwO16i2o = dnnl_gIdhwO16i2o;
1467const format_tag_t gIdhwO16i4o = dnnl_gIdhwO16i4o;
1468
1469const format_tag_t hwioG16g = dnnl_hwioG16g;
1470const format_tag_t hwioG8g = dnnl_hwioG8g;
1471} // namespace format_tag
1472
1473using normalization_flags_t = dnnl_normalization_flags_t;
1474namespace normalization_flags {
1475const normalization_flags_t none = dnnl_normalization_flags_none;
1476const normalization_flags_t use_global_stats = dnnl_use_global_stats;
1477const normalization_flags_t use_scale = dnnl_use_scale;
1478const normalization_flags_t use_shift = dnnl_use_shift;
1479const normalization_flags_t fuse_norm_relu = dnnl_fuse_norm_relu;
1480const normalization_flags_t fuse_norm_add_relu = dnnl_fuse_norm_add_relu;
1481} // namespace normalization_flags
1482
1483using engine_kind_t = dnnl_engine_kind_t;
1484namespace engine_kind {
1485const engine_kind_t any_engine = dnnl_any_engine;
1486const engine_kind_t cpu = dnnl_cpu;
1487const engine_kind_t gpu = dnnl_gpu;
1488} // namespace engine_kind
1489
1490enum runtime_kind_t {
1491 dnnl_runtime_none,
1492 dnnl_runtime_seq,
1493 dnnl_runtime_omp,
1494 dnnl_runtime_tbb,
1495 dnnl_runtime_threadpool,
1496 dnnl_runtime_ocl,
1497 dnnl_runtime_sycl,
1498};
1499
1500namespace runtime_kind {
1501const runtime_kind_t none = dnnl_runtime_none;
1502const runtime_kind_t seq = dnnl_runtime_seq;
1503const runtime_kind_t omp = dnnl_runtime_omp;
1504const runtime_kind_t tbb = dnnl_runtime_tbb;
1505const runtime_kind_t threadpool = dnnl_runtime_threadpool;
1506const runtime_kind_t ocl = dnnl_runtime_ocl;
1507const runtime_kind_t sycl = dnnl_runtime_sycl;
1508} // namespace runtime_kind
1509
1510using primitive_kind_t = dnnl_primitive_kind_t;
1511namespace primitive_kind {
1512const primitive_kind_t undefined = dnnl_undefined_primitive;
1513const primitive_kind_t reorder = dnnl_reorder;
1514const primitive_kind_t concat = dnnl_concat;
1515const primitive_kind_t sum = dnnl_sum;
1516const primitive_kind_t convolution = dnnl_convolution;
1517const primitive_kind_t deconvolution = dnnl_deconvolution;
1518const primitive_kind_t shuffle = dnnl_shuffle;
1519const primitive_kind_t eltwise = dnnl_eltwise;
1520const primitive_kind_t pooling = dnnl_pooling;
1521const primitive_kind_t prelu = dnnl_prelu;
1522const primitive_kind_t lrn = dnnl_lrn;
1523const primitive_kind_t batch_normalization = dnnl_batch_normalization;
1524const primitive_kind_t inner_product = dnnl_inner_product;
1525const primitive_kind_t rnn = dnnl_rnn;
1526const primitive_kind_t gemm = dnnl_gemm;
1527const primitive_kind_t binary = dnnl_binary;
1528const primitive_kind_t matmul = dnnl_matmul;
1529const primitive_kind_t resampling = dnnl_resampling;
1530const primitive_kind_t reduction = dnnl_reduction;
1531const primitive_kind_t softmax = dnnl_softmax;
1532const primitive_kind_t layer_normalization = dnnl_layer_normalization;
1533
1534// Internal only primitive kinds.
1535const primitive_kind_t internal_only_start = (primitive_kind_t)(1 << 12);
1536const primitive_kind_t zero_pad = internal_only_start;
1537} // namespace primitive_kind
1538
1539using query_t = dnnl_query_t;
1540namespace query {
1541const query_t undef = dnnl_query_undef;
1542
1543const query_t engine = dnnl_query_engine;
1544const query_t primitive_kind = dnnl_query_primitive_kind;
1545
1546const query_t num_of_inputs_s32 = dnnl_query_num_of_inputs_s32;
1547const query_t num_of_outputs_s32 = dnnl_query_num_of_outputs_s32;
1548
1549const query_t time_estimate_f64 = dnnl_query_time_estimate_f64;
1550const query_t memory_consumption_s64 = dnnl_query_memory_consumption_s64;
1551
1552const query_t scratchpad_engine = dnnl_query_scratchpad_engine;
1553
1554const query_t impl_info_str = dnnl_query_impl_info_str;
1555
1556const query_t reorder_src_engine = dnnl_query_reorder_src_engine;
1557const query_t reorder_dst_engine = dnnl_query_reorder_dst_engine;
1558
1559const query_t prop_kind = dnnl_query_prop_kind;
1560
1561const query_t cache_blob_id_size_s64 = dnnl_query_cache_blob_id_size_s64;
1562const query_t cache_blob_id = dnnl_query_cache_blob_id;
1563
1564const query_t strides = dnnl_query_strides;
1565const query_t dilations = dnnl_query_dilations;
1566const query_t padding_l = dnnl_query_padding_l;
1567const query_t padding_r = dnnl_query_padding_r;
1568const query_t epsilon_f32 = dnnl_query_epsilon_f32;
1569const query_t flags = dnnl_query_flags;
1570const query_t alg_kind = dnnl_query_alg_kind;
1571const query_t alpha_f32 = dnnl_query_alpha_f32;
1572const query_t beta_f32 = dnnl_query_beta_f32;
1573const query_t axis_s32 = dnnl_query_axis_s32;
1574const query_t local_size_s64 = dnnl_query_local_size_s64;
1575const query_t k_f32 = dnnl_query_k_f32;
1576const query_t p_f32 = dnnl_query_p_f32;
1577const query_t factors = dnnl_query_factors;
1578const query_t cell_kind = dnnl_query_cell_kind;
1579const query_t direction = dnnl_query_direction;
1580const query_t activation_kind = dnnl_query_activation_kind;
1581const query_t kernel = dnnl_query_kernel;
1582const query_t group_size_s64 = dnnl_query_group_size_s64;
1583
1584const query_t some_md = dnnl_query_some_md;
1585const query_t src_md = dnnl_query_src_md;
1586const query_t diff_src_md = dnnl_query_diff_src_md;
1587const query_t weights_md = dnnl_query_weights_md;
1588const query_t diff_weights_md = dnnl_query_diff_weights_md;
1589const query_t dst_md = dnnl_query_dst_md;
1590const query_t diff_dst_md = dnnl_query_diff_dst_md;
1591const query_t exec_arg_md = dnnl_query_exec_arg_md;
1592
1593const query_t workspace_md = dnnl_query_workspace_md;
1594const query_t scratchpad_md = dnnl_query_scratchpad_md;
1595
1596const query_t ndims_s32 = dnnl_query_ndims_s32;
1597const query_t dims = dnnl_query_dims;
1598const query_t data_type = dnnl_query_data_type;
1599const query_t submemory_offset_s64 = dnnl_query_submemory_offset_s64;
1600const query_t padded_dims = dnnl_query_padded_dims;
1601const query_t padded_offsets = dnnl_query_padded_offsets;
1602const query_t format_kind = dnnl_query_format_kind;
1603const query_t inner_nblks_s32 = dnnl_query_inner_nblks_s32;
1604const query_t inner_blks = dnnl_query_inner_blks;
1605const query_t inner_idxs = dnnl_query_inner_idxs;
1606
1607// Internal only query kinds.
1608const query_t internal_only_start = (query_t)(1 << 12);
1609const query_t zero_pad_d = internal_only_start;
1610const query_t preferred_gpu_threads_per_eu = (query_t)(internal_only_start + 1);
1611} // namespace query
1612
1613using rnn_direction_t = dnnl_rnn_direction_t;
1614
1615using engine_t = dnnl_engine;
1616using primitive_attr_t = dnnl_primitive_attr;
1617using post_ops_t = dnnl_post_ops;
1618using memory_desc_t = dnnl_memory_desc;
1619using memory_t = dnnl_memory;
1620
1621using stream_flags_t = dnnl_stream_flags_t;
1622namespace stream_flags {
1623const stream_flags_t in_order = dnnl_stream_in_order;
1624const stream_flags_t out_of_order = dnnl_stream_out_of_order;
1625const stream_flags_t default_flags = dnnl_stream_default_flags;
1626} // namespace stream_flags
1627using stream_t = dnnl_stream;
1628
1629struct memory_storage_t;
1630
1631/* forward declaration of the internal primitive_desc types */
1632struct batch_normalization_bwd_pd_t;
1633struct batch_normalization_fwd_pd_t;
1634struct batch_normalization_pd_t;
1635struct binary_pd_t;
1636struct concat_pd_t;
1637struct convolution_bwd_data_pd_t;
1638struct convolution_bwd_weights_pd_t;
1639struct convolution_fwd_pd_t;
1640struct convolution_pd_t;
1641struct deconvolution_bwd_data_pd_t;
1642struct deconvolution_bwd_weights_pd_t;
1643struct deconvolution_fwd_pd_t;
1644struct deconvolution_pd_t;
1645struct eltwise_bwd_pd_t;
1646struct eltwise_fwd_pd_t;
1647struct eltwise_pd_t;
1648struct gemm_pd_t;
1649struct inner_product_bwd_data_pd_t;
1650struct inner_product_bwd_weights_pd_t;
1651struct inner_product_fwd_pd_t;
1652struct inner_product_pd_t;
1653struct layer_normalization_bwd_pd_t;
1654struct layer_normalization_fwd_pd_t;
1655struct layer_normalization_pd_t;
1656struct lrn_bwd_pd_t;
1657struct lrn_fwd_pd_t;
1658struct lrn_pd_t;
1659struct matmul_pd_t;
1660struct pooling_bwd_pd_t;
1661struct pooling_fwd_pd_t;
1662struct pooling_pd_t;
1663struct prelu_pd_t;
1664struct reduction_pd_t;
1665struct reorder_pd_t;
1666struct resampling_pd_t;
1667struct rnn_bwd_pd_t;
1668struct rnn_fwd_pd_t;
1669struct rnn_pd_t;
1670struct shuffle_pd_t;
1671struct softmax_bwd_pd_t;
1672struct softmax_fwd_pd_t;
1673struct softmax_pd_t;
1674struct sum_pd_t;
1675
1676} // namespace impl
1677} // namespace dnnl
1678
1679#endif
1680
1681// vim: et ts=4 sw=4 cindent cino+=l0,\:4,N-s
1682